Issue



Table of Contents

Solid State Technology

Year 1996
Issue 11

DEPARTMENTS

Services


Japanese electronics Industry book

This 94-page book entitled Facts & Figures on the Japanese Electronics Industry provides detailed data on the Japanese electronics industry and how it ranks domestically and globally. Besides comparative statistics on the industry and its counterparts in the US and Europe, the 1996 edition includes production, import, and export data by product category and item. The book is published in English. Price: $40. The Schmertz Co., 1300 N. 17th St., Suite 1330, Arlington, VA 22209


Services


300-mmwafer transition newsletter

A newsletter entitled The Global 300-mm Report tracks industry comments, news, and views on issues relating to the transition to 300-mm wafers. In addition to reporting on the status of the SEMI Global 300-mm Initiative, the newsletter includes information on related activities of other associations and consortia worldwide; public statements made by industry executives; and announcements from SEMI member companies and their customers. Price: $255/year (nonmembers), $195/year (members). SEMI, Mou


Services


Aisa/Pacific job-recruitment newsletter

This quarterly newsletter entitled Goldmine Asia: Technical People for the Pacific Rim features biographies of job-seeking professionals, together with reports from Asia/Pacific correspondents who know the recruiting conditions and job market in Seoul, Tokyo, Bangkok, Taipei, and the Silicon Valley. It also includes editorial content provided by international recruiters with an Asia/Pacific semiconductor focus. Each issue contains an interview with a person whose life work brings an understandin


Services


Signal diode reverse recovery time standard

This standard entitled "Measurement of Reverse Recovery Time for Semiconductor Signal Diodes" (EIA-318-B) describes the measurement of signal diode (IF=500 mA dc) reverse recovery times of <300 ns duration. It may also be used for the measurement of longer recovery times. In addition, the standard is intended to establish a method by which to characterize the test fixture used for this measurement. Price: $34. Global Engineering Documents, Englewood, CO; ph US and Canada 800/854-7179 or internat


Services


Laser processing volume

A 674-page book entitled Advanced Laser Processing of Materials: Fundamentals and Applications documents symposium reports from the 1995 Materials Research Society (MRS) Fall Meeting in Boston, MA. The book contains 98 papers covering such topics as fundamentals of laser-solid interactions; fundamentals of pulsed laser ablation; pulsed laser deposition; novel applications of laser processing; laser-driven formation of nanocrystals; laser annealing; surface modification and etching; and laser-ass


Services


Semiconductor firm directory

This directory entitled Profiles 1996 surveys over 200 semiconductor manufacturers, fabless companies, and foundries. Entries on companies detail market strategy, fab facilities, devices being manufactured, design rules, wafer sizes, address, key management personnel, and phone and fax numbers. The directory also covers facility upgrades and new fab plans; it is available in hard copy or CD-ROM format. Price: $1195/book or CD-ROM, $1590 for both. Integrated Circuit Engineering Corp., Scottsdale,


Services


GaAs electronic industry guides

This strategic study of the GaAs electronics industry entitled Gallium Arsenide: Electronic Materials and Devices covers GaAs wafers, GaAs epitaxial wafers, GaAs discrete FETs, GaAs MMICs, and GaAs digital ICs. The guide incorporates a five-year industry forecast of GaAs materials and devices by dollar value and units, including application analysis; merchant vs. captive markets; geographical demand and supply; North America, Japan, Europe, and Rest of World; standard products vs. ASICs; wafer s


Services


Logic device standards Logic device standards

This publication entitled "Standard for description of low-voltage TTL-compatible, 5-V tolerant CMOS Logic Devices" (EIA/JESD 36) outlines the standard DC specs, test conditions, and test loading for logic products designed to tolerate input and output voltages that exceed the devices` power supply, specifically 5-V tolerant logic products that run from low voltage (2.7-3.6 V) power supplies. Products that meet this standard can be used to effectively interface between LVCMOS/LVTTL and 5-V TTL


Products


Low-sodium parts for plasma etch

Sodium is the most troublesome element in plasma etch wafer processing; LOWPEC -a material very low in sodium -has been developed to provide plasma etch consumable parts that generate fewer contaminant particles than standard OEM parts. Outgassing of contaminants is also minimal. LOWPEC pedestal clips for the Applied Materials 8310 tool deliver > 600 RF hours of life and stabilized particle counts. Mass loss of these parts averages < 0.4%. Textured parts are available for even lower particle lev


Products


Sputtering magnetron

A new sputtering magnetron uses a unique approach to push magnetic flux through a ferrous target more effectively, facilitating a higher degree of plasma control in the sputtering chamber and greatly improving target utilization. The essence of the new technology is the carefully determined and applied magnetic field that controls plasma in the sputtering process. The presence of the field optimally positions the plasma with respect to the target, allowing a faster process and more efficient use


Products


High-purity Teflon tubing

Teflon PFA 450 HP fluorocarbon resin offers enhanced purity relative to other Teflon resins, with lower extractable fluorides and better mechanical properties. Standard extrusions are 0.25 in. OD through 1 in. OD with a 0.0625-in. wall thickness. Long, continuous lengths can be extruded, minimizing connections in the fluid system. Western Technical Products Inc./DuPont Co., Morrison, CO; ph 888/559-8324 or 303/697-6306, fax 303/697-6051


Products


Probe station

The CHECKMATE analytical probe station has been designed to be field modifi-able to any of four configurations. The primary difference in the configurations is the sophistication of stage movement. Each variation can provide state-of-the-art design verification and failure analysis of ICs with sub-?m technology. The four configurations are: manual - featuring sub-?m resolution of the 8-in. chuck and microscope stage; motorized - providing motorized control of the microscope stage and wafer chuck


Products


Opticalinspection section

This optical inspection station, the INS 100, uses an integrated handler workstation with a custom stage that can be adapted to most inspection microscopes. All standard contrast techniques are available, from brightfield to white light confocal imaging. The handler system provides safe and fast wafer transport through an internal testing system that continuously searches for wafers. In addition, the INS 100 includes a cassette mapper that prevents cross slot and double feeds. A dual-arm design


Products


Image/x-ray analyzer for SEMs Image/x-ray analyzer for SEMs

AutoSEM 1 is a PC-based image analyzer and x-ray analyzer for a scanning electron microscope (SEM). It provides: particle/feature size, shape, and x-ray analysis for thousands of features (live/interactive or automatic, without operator attendance); complete image/x-ray analysis reports, including statistics in standard spreadsheet form; digital color-coded x-ray maps based on composition rather than single element; and digital high-resolution imaging, image enhancement, image archiving, and ine


Products


Liquid nitrogen auto-fill

The LC3 controller maintains the LN2 level between user-defined setpoints in coldtraps and dewars. The liquid level is measured with a capacitive probe and displayed on the front panel with 0.1% precision. Level control is achieved by actuating a cryogenic solenoid valve. Standard features include a backlit display, audible alarm, analog output, manual override, and auto-dial phone support. All user setpoints are stored in nonvolatile memory. An RS-232 interface allows remote monitoring and cont


Products


Positive displacement flowmeters

The AP-I positive displacement flowmeters are constructed of ultrapure materials, suiting them to monitor the dispensing of chemicals used to clean semiconductor circuits and assemblies. They monitor flow for up to 100 gpm, with repeatability of ?0.05% of rate. With only two moving parts, the flowmeters offer ease of maintenance tand long life. Flowdata Inc., Richardson, TX; ph 214/907-2787, fax 214/907-8016.


Products


Pressure-based mass flow control

Type 1153 mass flow controller is designed to allow semiconductor and FPD manufacturers to deliver low vapor pressure liquid and solid precursors to a CVD chamber to deposit barrier, high k, and low k dielectric films. It provides precise delivery of vapors from complex solids and liquids with vapor pressures as low as 2.5 torr at temperatures as high as 200oC. The flow of vapor is controlled via a pressure-based technique using two Baratron pressure transducers, a choked flow nozzle, and a high


Products


Waste gas removal

The CLEANVENT cartridge allows at-source waste gas removal directly within the gas supply cabinet. The unit, which passively removes hazardous purge gases by chemical conversion to stable solids, is typically fitted upstream of the cabinet`s venturi vacuum generator. Cartridges are available for all semiconductor gases; the vessels can be refilled periodically by local suppliers. CS-GmbH, Ismaning, Germany; ph 49/89-962400-0, fax 49/89-962400-22.


Products


High flow TEOS gas MFC

This large-bore, self-heated gas mass flow controller (MFC), newly added to the 500 series, has a compact design that is suitable for ultralow vapor pressure applications and will easily retrofit to allow increased flow rates in existing systems. Heaters are embedded in the body of the MFC to produce even heating throughout, with temperature stabilization at 95oC being obtained within 15 min of startup. The device has a large-bore sensor and low drop designed bypass that provide for flows of up


Products


Mass flow control

Model 810 Gas-Trak measures and controls gas mass flow at low cost and is suitable as a replacement for volumetric flow measurement devices that are sensitive to changes in operating temperature and pressure. The instrument`s integrated proportional control valve gives precise, one-step control of critical gas flows. An on-board display and set point control eliminate the need for separate power supply and readout electronics. A straight, large-diameter sensor tube prevents clogging and contamin


Products


Process gas flow control

Microleak gaskets give accurate gas flow control in process gas lines. Flow rates that air at 15 psig are achieved from 0.004 cc/min to 18 l/min using these orifices, with diameters ranging from 0.5 to 1000 ?m. Precision calibration of the gaskets gives interchangeability and repeatable control. Lenox Laser, Glen Arm, MD; ph 410/592-3106, fax 410/592-3362


Products


Pressure transducers and transmitters Pressure transducers and transmitters

The Ultra High Purity series of pressure transducers and transmitters comprises four pressure sensors, the 212FT, 214, 215, and 216, which enable users to monitor specialty gas processes on an in-line basis and to eliminate dead volume and its associated problems. The series is bakeable to 125?C without affecting calibration, and every sensor is mass spectrometer helium leak tested to 1 ? 10-10 ATM.CC/sec. Based on their capacitive sensing technology, the sensors offer stable voltage or current


Products


Leak detection system

The QLD leak detector allows direct control of all system functions via Allen-Bradley`s Data Highway Plus communications network. This is achieved using PLC-Link software. The system substantially reduces test cycle time, in part because it eliminates the need for an on-board processor. The DH Plus link to the leak detector also permits process changes to be made without hardware upgrades. QLD is made up of four modules: a sampling module, a software module, a backing module, and an electronics


Products


Rectangular gate valves

These rectangular port gate valves are fabricated from 6061 aluminum. Port openings range from 25 ? 160 mm to 50 ? 600 mm. Bolt patterns are configured to fit any cluster tool or FPD system. A bellows stem seal or million cycle viton seal is available. Vacuum Research Corp., Pittsburgh, PA; ph 800/426-9340 or 412/261-7630, fax 412/261-7220


Products


Die-loading system

The 2007 TR die-loading system handles the full range of carrier tapes. Its flexible design allows it to run die sizes ranging from 1.0-11 mm and can be extended to accommodate die sizes up to 25 mm. Maximum wafer size is 8 in., and tape width can vary from 8-56 mm using embossed standard EIA 481 tape, Surftape, or any other customer tape. System composition makes possible conversion from one prod-


Products


Probe card testing

PrecisionPoint probe card instruments have been enhanced with the addition of the VX probe force measurement tool, the Vision integrated checkplate, and the API beveled window checkplates. The VX is used to verify the true gram force of each probe in a probe card. Included with the tool is Wire Checker, a specialized utility that reports mis-wired cards before they damage a wafer or shut down the test process. With the Vision integrated checkplate, the probe cleaning and contact resistance measu


Products


Flip chip bonder

Model 410 is a flip chip bonder for development and low-volume production, combining precision alignment and versatile, fast-cycle operation with spot heating achieved by either high-intensity light or hot gas. The bonder features a cube beam splitter tied to a super VGA monitor that presents the die and substrate images simultaneously for precise and easy alignment (?5 ?m). Dual fiber optic illuminators allow for different light levels on the die and substrate, to enhance the alignment process.


Products


Photoresist filter

Designed to filter point-of-use photoresist and high-viscosity chemicals, the Falcon 9140 filter uses a special flow pattern construction, along with the crescent-shaped Ultipleat filter design. This configuration delivers low extractable levels, low hold-up volume, and good flow characteristics. Manufactured in a cleanroom environment, the filter is 100% integrity-tested. It minimizes entrapped air bubbles and has a high flow rate at the 0.05-?m filtration level, contributing to long service li


Products


200-um PZT flexure stage

The P-915.723 piezo linear stage has a 200-?m stroke and a clear aperture of 40 ? 40 mm, making it well-suited to applications such as confocal microscopy, near-field scanning microscopy, and mask alignment. Low-voltage PZTs (0-100 V) and wire electric discharge machining (EDM) cut flexures are employed as drive and guiding system. The EDM cut flexures are computer-designed for zero-backlash and straightness on the order of 5-10 arc second. Integrated linear variable differential transformer sen


Products


Magnetically levitated turbomolecular pump

Designed for such applications as plasma etch, ion implant, and coating systems, the MAG 1200 turbomolecular pump relies on the magnetic bearing principle, in which the rotor of the pump is suspended by a permanent magnet passive bearing placed close to the center of gravity. This is combined with a permanent magnetic stabilizer, located at the lower shaft end, and coils that act in the axial direction. The position of the shaft is monitored by an axial sensor placed at the lower shaft; if a dev


Products


High-performance inflatable seals

The inflatable Pneuma-Seal offers rapid sealing in most semiconductor equipment applications. Suitable for wafer furnaces, wet or dry process chambers, vacuum environments, and actuator mechanisms, the seal features fast sealing and unsealing, simplified hardware, and a variety of profiles and compounds for use in a wide range of environments. Fabricated from synthetic rubber materials, it addresses sealing problems with over 500 designs of inflatable, extruded, or molded rubber sections develop


Products


Post-CMP wafer cleaning

The Capella, an advanced wafer cleaner for post-CMP applications (footprint 21 ft2), achieves high throughput due to its dual cassette load/unload stations, dual noncontact hydroplaned rinse rings, and dual high-speed spin dryers. Typically, fabs have used one post-CMP wafer cleaner system for every three CMP heads; with Capella, that figure increases to five or six CMP heads/system. Continuous reduction of particles and defects results from the use of double-sided PVA brush scrubbers with in-si


Products


Gallium diffusion furnace

This gallium diffusion furnace is a five-zone model for junction diffusion in the manufacture of power semiconductor devices; it includes the Eurotherm PC3000 control system. This unit controls all aspects of the furnace - e.g., temperatures, gas flow, and sequencing - and is capable of holding more than 100 process recipes at each furnace tube. Hitech Furnaces Ltd., Sutton Coldfield, UK; ph 44/121-352-1404, fax 44/121-353-4311


Products


CMP slurry mixing system

The SMS-102 CMP polishing slurry system mixes an alumina slurry with ferric nitrate for polishing a tungsten metal layer on a wafer and can also be used for other mixing applications. The system automatically mixes the slurry with the desired chemical to a programmable mix ratio; it then recirculates the slurry mix to a loop that supplies the polishing machine. SMS-102 uses load cells to measure by weight the quantities of slurry and chemical that are to be mixed. The mix ratio can be easily cha


Products


Cassette transfer system

The 5500 series cassette transfer system (CTS) is an automated, microprocessor-controlled unit that provides product-handling capabilities without the complexity of a fully robotic bench. The unit is sealed and purged to keep the interior components moisture-free, and all exterior moving parts are coated with chemical-resistant Halar. The 5500 CTS can transport dual 4-, 5-, 6-, or 8-in. wafers safely and rapidly between process tanks. The transfer system has a full 30-lb lift capability and can


Products


Optical character recognition Optical character recognition

This optical character recognition (OCR) system, designed for integration into Lam`s Rainbow and TCP 9600 etchers, automatically reads a lot wafer identification number on a wafer, prior to entry into the etch chamber, and passes that number to a computer or network. The system integrates the vision system and the image processing into a compact package, eliminating the need for another PC to run the OCR software. The system attaches directly on the top side of the cover of the etchers. Image pr


Products


Photoresist coating system

EV150 is an automated double-side photoresist coating system designed for MEMS applications. The system features a dispense mode for wafer edge protection, to improve yield with anisotropic etching, and a modular design to separate coater from control rack, minimizing particle generation. Throughput is up to 70 double-side coated wafers/hour. The system dispenses up to three resists, additional solvent, and adherence promoter, and is designed for 4-, 5-, 6-, and 8-in. wafer sizes, with autoload


Products


Mask inspection

The Data Express system enhances the ability of the RT-8000 reticle inspection system to inspect complex masks such as OPC, PSM, and 64- and 256-Mbit DRAMs without compromising throughput or sensitivity. The system preserves the hierarchy of pattern data files while searching for more compaction in the data. The data remains in its compacted form until it is compared with the scanned mask image. This allows the system to handle smaller reference files, overcoming storage and data-transfer limita


Products


Excimer laser

The COMPex 150T excimer laser offers high output power (up to 20 W), high pulse energy (up to 450 mJ), narrow linewidth (<3 pm), low beam divergence (<0.2 mrad), and high temporal and spatial coherence. A single gas fill produces up to 107 laser pulses. The laser is fully computer-controllable-all relevant system parameters are completely adjustable by software. Comprehensive user menus and automatic self-diagnosis with status and error messages make the laser easy to use. Lambda Physik GmbH, Go


Products


Data mining software

Q-YIELD is a data mining software tool that can search through massive data bases and uncover relationships that explain the causes of production problems. Large data sets and complex relationships limit the usefulness of the data collected in the fab; Q-YIELD helps engineers to overcome these obstacles by providing an automated data analysis solution that uses their data resources to the full. Using intelligent search algorithms, it can sift through data sets of any size and extract relationshi


Products


Fab material control

TransNet is Windows-based, fully integrated material-control software for use in the fab. Based on an open Windows NT platform, TransNet is a flexible, scalable system that tightly integrates with manufacturing execution systems (MES) software and with hardware on the fab floor, such as stockers and the AeroTrak overhead monorail transport system. Fab managers can access data from any point in the system - on the fab floor at a stocker or remotely from an NT-based workstation in an office, and u


Letters


Employees must have both technical and pragmatic skills

I congratulate you on drawing attention to the work force shortages in advanced technology manufacturing industries, and I am fascinated by the letters (September, p. 18) that this has triggered from highly qualified specialists with advanced degrees that plead difficulty in securing employment.


Letters


Changing industry

I read with interest your discussions about the personnel shortages. I was employed in the semiconductor industry for six years and would like to put a new slant on the subject.


Letters


More articles on material science

It is indeed a great pleasure to welcome you as Editor-in-Chief of the great Solid State Technology, Encyclopedia for Semiconductors, and congratulate you on becoming so. SST disseminates knowledge on the latest and innovative achievements. It inspires the onlooker to get involved in the area of his choice. It makes the generalist a specialist. It encourages the reader to refer to it again and again. The commercial information keeps the technologist up to date in production figures on a worldwid


News


LG Group

South Korea`s LG Group, which acquired Epitaxy Systems Inc. last fall, has renamed the firm LG Epitaxy. LG Epitaxy is owned 50% by LG Siltron, which produces silicon wafers, 20% each by LG Semicon and LG Chemical, and 10% by LG International. They are investing about $10 million this year to upgrade and expand cleanroom facilities and add equipment at the unit`s Santa Clara, CA, facility, and spending another $4 million on R&D. Plans call for annual revenues to grow from today`s $10 million to m


News


New Taiwan Industrial park

New Taiwan industrial park. Taiwan fabs are signing up for the new Tan-Nan Science-Based Industrial Park in Taiwan. The Taiwan government is investing NT$32 billion to develop the park, which will house primarily semiconductor factories. The park is expected to bring in NT$900 billion in income over the next 15 years. The first big move into the science park will be by semiconductor manufacturing giants TSMC and UMC, which will build a 200-mm wafer factory at the site. Moreover, TSMC`s Fab 6 and


News


Applied Komatsu Technology Inc. Applied Komatsu Technology, Inc.

Applied Komatsu Technology Inc. (AKT), Kobe, Japan, has shipped its 75th TFT system. AKT produces plasma enhanced CVD, PVD, and etch systems for active matrix liquid crystal displays. In July, the AKT PECVD 3500 system received the grand prize award for display manufacturing equipment at the Fine Process Technology Exhibition and Seminar in Tokyo. The company`s single-substrate, multichamber system architecture has played a decisive role in AKT`s market position, complementing AKT`s advanced TFT


News


Iwatani International Corp.

Iwatani International Corp., Osaka, Japan, and Praxair Inc., Danbury, CT, intend to form a new joint venture to be called Praxair Iwatani Electronic Gases Co. Ltd., to be headquartered in Tokyo. The venture will provide electronic gases, services, and systems to the semiconductor manufacturing industry in Japan. Praxair will hold 51% ownership in the venture, which represents an initial capital investment of $4.5 million (450 million yen), with total capital investment of $18 million. Praxair Iw


News


Taiwan Semiconductor Industry Association

The Taiwan Semiconductor Industry Association (TSIA) is a new trade group that will work with Taiwanese industry and government on industrial policy, promote international cooperation, and facilitate development of a full-fledged local semiconductor industry. "The TSIA will bear a similar function for Taiwan`s local semiconductor industry as the SIA does for US firms," said Wenbin Hsu, PhD, chairman of the TSIA Preparatory Committee and deputy general director of ERSO. Initiated recently by 11 l


News


Mitsui & Company Ltd.

Mitsui & Company Ltd. and Micron Communications Inc., Boise, ID, have signed an agreement naming Mitsui the master distributor for Japan of the Micron MicroStamp remote intelligent communications (RIC) family of products. MicroStamp is a small, high performance, high frequency (2.4 GHz), RIC unit based on the MicroStamp IC, which is a wireless communications technology that integrates a direct sequence spread spectrum mircrowave frequency radio, a microprocessor, and a low power SRAM into a sing


News


DuPont Photomasks Inc.

DuPont Photomasks Inc., Round Rock, TX, plans to expand its advanced mask production lines in Ichon, Korea. DuPont will install an ALTA 3000 laser mask writer and a KLA STARlight inspection tool at the Korean facility. The equipment will support 0.35-?m capabilities, and help pilot 0.25- and prototype 0.18-?m masks. The new line is expected to be operational by 2Q97.


News


CVC Products Inc.

CVC Products Inc., Rochester, NY, a supplier of thin-film process equipment, has received multiple system contracts for its integrated Connexion cluster tool from a large Korean customer and two Japanese customers. The orders include CVC`s cluster tool platform and various deposition modules. Connexion architecture allows for manufacturing and advanced technology solutions by adding or upgrading integrated modular technology on a common platform. Typical Connexion systems range in price from $1.


News


Digital Instruments

Digital Instruments (DI), Santa Barbara, CA, and its Japanese distributor, Toyo Corp., has opened a technical liaison office in Tokyo. The office, referred to as Toyo/DI SPM Research Laboratory, will provide direct technical support to DI`s growing customer base in Asia, with respect to new developments in scanning probe microscopy technologies and applications, particularly as they affect the semiconductor and data storage markets.


News


Tokyo Electron Ltd.

Tokyo Electron Ltd. (TEL) has opened its first US manufacturing facility in Hillsboro, OR. The Hillsboro factory, TEL`s first in the Western Hemisphere, was selected for its proximity to the Portland area and the expanding semiconductor manufacturing industry in the Pacific Northwest. The factory will produce automated vertical furnace systems for diffusion, oxidation, and LPCVD processes. The first phase of construction will produce 112,000 ft2 of factory space and 69,000 ft2 of office space. T


News


Equipe Technologies Ltd. Equipe Technologies Ltd.

Equipe Technologies Inc., Sunnyvale, CA, a manufacturer of high precision robotics for the semiconductor and flat panel display (FPD) industries, has opened its first direct Asian office, in Iwakura City, near Nagoya, Japan. With the debut of its vacuum cluster tool platform, Equipe is anxious to accelerate sales efforts to Japanese original equipment manufacturers (OEMs). The office will also provide local service and support for Equipe`s robots in American OEM systems installed in Pacific Rim


News


Atto Atto

Atto will begin manufacturing electronics gas cabinets for industrial gas company BOC Gases in Korea later this year. BOC has become part owner of Atto, formerly known as Hankuk Atto Engineering, and will participate on its board. Atto`s Korean customers are Samsung, Goldstar, and Hyundai. The cabinets incorporate a six-valve manifold with monoblock valve design. The fully swept cabinet design with leak-tight components eliminates dead legs to ensure consistency in the purity of the gas stream.


News


Veeco Instruments Inc.

Veeco Instruments Inc., Plainview, NY, has opened a sales and service office in Hong Kong. The office will be managed by George Chiang, director of Veeco`s sales and customer support for the Asia/Pacific region. He will oversee all sales activities in Korea, Taiwan, China, Hong Kong, Singapore, Malaysia, Thailand, and the Philippines for Veeco`s surface metrology equipment and ion beam systems.


News


Korean market data service

Korean market data service. SEMI`s Executive Market Data Service provides monthly Korean semiconductor market data as a region separate from Rest of World. The report is published monthly, six weeks after the end of each month, with information on equipment categories, including mask and reticles, wafer manufacturing, lithography, etch, ion implantation, thin-film deposition, automated test equipment, test-related equipment, assembly and packaging, and fab facility and other equipment. SEMI, Mou


News


Shinkawa Ltd.

Wire bond equipment supplier Shinkawa Ltd. in Japan has entered a strategic relationship with flip chip company Research Devices Inc. (RDI), Piscataway, NJ. Under the agreement, Shinkawa will purchase a minority interest in RDI, which could be around 49% or even 50%, according to Vicky Saba, VP of marketing. RDI management will remain intact. The alliance will enable RDI to expand its activity in the emerging Japanese flip chip market and utilize Shinkawa`s experience in automated production equ


News


Applied Materials Japans Yawata retires

Applied Materials Japan`s Yawata retires. Keisuke Yawata, president and CEO of Applied Materials Japan (AMJ) will retire from day-to-day business in January. He will continue working with AMJ and Applied Materials as an advisor. Two years ago, he left his executive advisor position at LSI Logic Japan to join AMJ. Replacing Yawata at AMJ will be Yoichi Akasaka, currently senior VP of Japan Product Operations at AMJ and VP of Applied Materials.


News


Equipment makers optimistic over first SEMICON/Taiwan show

Much of the attention of the global semiconductor industry was focused on Taipei in late September as SEMI brought to the World Trade Center Taiwan`s first SEMICON/Taiwan conference and


Eurofocus


Siemens, Mosel Vitelic form cooperative fab for DRAMs

The semiconductor group of Siemens AG, Munich, Germany and Mosel Vitelic Inc., Taipei, Taiwan, will jointly manufacture 64-Mbit and 256-Mbit DRAMs in Taiwan.


Eurofocus


Half-micron work begins at MOS4YOU, Phillips new Dutch fab

Philips Semiconductors opened its new half-micron fab in Nijmegen, The Netherlands, in early September. The new fab is named MOS4YOU, with YOU standing for Yield Output Utilization.


Eurofocus


Applied to study AI PVD with IMEC

Applied Materials Inc., Santa Clara, CA, has entered into a three-year research collaboration in quarter-micron PVD metallization process technology with the Belgian research center, IMEC. As part of the agreement, Applied will also join IMEC`s Industrial Affiliates Program (IAP) on Advanced Metallization.


Eurofocus


Empak, Inc. Empak, Inc.

EMPAK Inc., Colorado Springs, CO, has named Patrick O`Shea CEO. O`Shea has 30 years of semiconductor industry experience, most recently as chair-


Literature


Custom heating application guide

This 208-page guide provides theory, general calculations, engineering data, and examples of efficient solutions to custom heating problems. Included in the reference data section are formulas; conversions and engineering constants; a corrosion guide; quick estimates of wattage requirements; and heat loss factors and graphs. The electric heater section provides power calculations; the temperature controllers section contains a quick reference con-


Editorial


For everything there is a season

Afunny thing happened at a conference I attended recently. Usually, when I meet with research scientists and product managers, I ask most of the questions. That`s my role as a journalist. But recently I find that I`m answering almost as many questions as I ask. What do I think of this or that company`s prospects? Which companies do I think are more innovative or more successful in developing innovations into products? What do I think of this or that new conference or publication?


Market Watch


Marketing application software in Japan

In the past decade, personal computer software has become a fast growing worldwide industry. While there are many software developers in all parts of the world, it appears that most advances in software technology and products are made by US-based companies. The vast Asia/Pacific markets for applications software are virtually untapped and too important to ignore. Yet marketing software to this fast-growing economic region has never been easy.


Market Watch


Are DRAMs a Falling star?

Dynamic random access memories (DRAMs) have been the mercurial star of the semiconductor world over the last couple of years. They accounted for an unprecedentedly large proportion of the overall chip market in 1995 (according to market researcher Integrated Circuit Engineering), as end-user demand overwhelmed fab capacity and kept prices at exceptionally high levels. But 1996 brought a combination of softening demand and many new fabs ramping up, with a resulting price crash that made PC power


World News


The Emergence of Specialized capital equipment distributors in Asia

The Asia/Pacific markets for semiconductor capital equipment outside Japan, Korea, and Taiwan are undergoing a rapid expansion fueled by global demand for product and by manufacturing economics. As the cost of new fabs increases with increased wafer size and ever smaller design rules, both equipment and device manufacturers face the challenge of choosing the best strategy for equipment support. The problem is compounded by language and cultural differences, trade practices that differ from marke


World News


Improving Furnaces with Model-based Temperature control

Thermocouples (t/c) positioned in the furnace element and process chamber of an oxidation/diffusion or LPCVD furnace system are used as inputs for adjusting the power delivered to multizone heating elements. Until recently, the industry relied on proportional integral derivative (PID) algorithms to indirectly control the temperature of the wafer based upon input from the thermocouples.


World News


Fabricating High resolution amel flat panel displays

A new silicon-based design for active-matrix electroluminescent (AMEL) flat panel displays can achieve the high resolutions required for today`s computer applications. The displays boast a dot pitch of only 24 ?m (>1000


World News


Worldwide Highlights

Chip sales rebound in September; book-to-bill hops up to 0.99. American chip sales rebounded in September, rising 9% from August levels to $3.19 billion, and the Semiconductor Industry Association`s book-to-bill ratio jumped to 0.99. The uptick moves the much-watched ratio to its highest point of the year, and may be the first real indication that the chip market is coming back from its doldrums.


World News


USA

California legislature enacts high-tech tax package. The California Legislature recently passed a package of tax incentives (SB 38) that includes three of interest to companies in the semiconductor equipment and materials industry. SB 38 raises the research development tax credit for basic research from 8% to 11% beginning January 1, 1997. The bill also includes an extension of the 6% manufacturers investment credit for cleanrooms built by semiconductor manufacturers and equipment makers. In add


World News


Japan

Fujitsu to focus exclusively in DRAM and flash memory. Japanese press reports indicate that Fujitsu will get out of the static RAM market and focus its attention on DRAM and flash memory. By the end of this fiscal year, Fujitsu will stop operating a 15-year-old fab line in Iwate Prefecture, Japan, that currently makes SRAMs and video game ROMs.


World News


Asia/Pacific

Semiconductor Packaging Materials Co. Inc., Mamaroneck, NY, and holding company Semiconductor Alliance Pte. Ltd. will jointly establish International Semiconductor Products Pte. Ltd. (ISP), a wafer polishing and reclaiming firm in Singapore. The new firm will be 50.1% owned by Semiconductor Packaging and 49.9% owned by the holding company. ISP will be housed in an 18,000-ft2 facility, and will be able to process about $20 million 150- and 200-mm wafers annually. Production will begin to ramp up


World News


Europe

ASM Europe, a Netherlands-based semiconductor process equipment manufacturer, has received an order for its Advance 400/3 modular vertical furnace from National Nano Device Laboratory (NDL) in Taiwan. ASM will provide NDL with a customer demonstration model to showcase the technology to the region`s semiconductor industry. The A400/3 is a modular vertical furnace with three chambers: a hydrogen fluoride etch module, and two vertical batch reactors.


Tech News


RTPs Future murky, with application immature

According to speakers at the recent 4th International Conference on Advanced Thermal Processing of Semiconductors (RTP `96), held in Boise, ID, some RTP processes are now mature, but others require significant improvements.


Tech News


US Slow on 300-mm; asia memory houses sen setting the tempo

Fear of commitment among US chip manufacturers was the tone established at the recent SEMI New England Breakfast Forum, which updated the progress toward 300-mm wafer acceptance.


Tech News


Photomask renaissance predicted at bacus 96

In his keynote address for the 16th annual BACUS Symposium on Photomask Technology and Management, held in September in Redwood City, CA, KLA Instruments chairman and CEO Ken Levy predicted a new era for the maskmaking industry, one that would reclaim the grandeur of the past.


Tech News


maskmakers surprised by early 0.18-UM demand

In other mask news, photomask producers are reporting surprising early demand for 0.25- and even 0.18-micron prototype masks, as chipmakers rush to break new technological ground.


Tech News


Applied IMO offers ionized sputtering

Applied Materials has unveiled an Ion Metal Plasma (IMP) process that utilizes small hardware upgrades to its standard physical vapor deposition (PVD) chamber to achieve high-quality barrier/glue layer step coverage in high aspect ratio 0.25-micron contacts and vias (Fig. 1). The process relies on a medium density plasma Vectra source to ionize most of the metal atoms leaving the sputtering target. Electrostatic coupling then directs the charged ions to the wafer surface.


Tech News


Slow, traps studied in Mos dielectrics

Researchers at Griffith University in Australia have developed a new technique for characterizing slow traps in MOS dielectrics. It measures the density and trapping rate of slow traps by stepping the gate voltage of a MOS capacitor in small increments and recording the resulting substrate current transients. Profiles illustrating the trap density as a function of the response time and energy in the silicon bandgap have been successfully obtained to monitor damage caused by electrical stressing


Tech News


Hot wire CVD systems attract attention for amorphous silicon

In the last few years, hot wire CVD deposition technology, originally used in the diamond-like coating arena, has attracted attention in the amorphous silicon field. "People want higher deposition rates and lower hydrogen concentration because of instability in the amorphous silicon area," noted Arun Madan, president of MVSystems Inc., Golden, CO. "It`s possible that hot wire can provide both, and can make polycrystalline silicon at low temperatures. It can be a very useful technique down the ro


FEATURES

Feature Products


Lithography minienvironment

TOTAL CONTROL LithoGuard 2000 minienvironment is a fully isolated, ultraclean recirculatory enclosure system with tight controls for temperature, humidity, and ESD. It is suitable for protecting semiconductor lithography processes, providing a Class 1 or better (at 0.12 ?m) contamination-free environment. The stabilities of the temperature and relative humidity inside the minienvironment are, respectively, ?0.05?C and ?0.5% RH. The modular system can be configured to accommodate a variety of ins


Feature Products


Post-processing visualization

TMA Visual offers post-processing visualization of 1D, 2D, and 3D physical simulation results. Used with simulation software, it allows examination of results from semiconductor process and device simulation, and it makes possible not only analysis of data but modification of the resulting plots to gain new perspective. TMA Visual`s capabilities include: complete coupling of 1D, 2D, and 3D plots in a single window; display of structures from various simulation tools; concerted control of primary


Feature Products


Deep Si etch with ICP cryo-RIE

Plasmalab System 100 Cryo Etch, a high-performance RIE instrument for MEMS applications, has a sample plate temperature control system that allows the temperature of the sample to be controlled between ambient and -150?C. This system permits high etch rates, high selectivity to the chosen mask material, high aspect ratio capability, and good uniformity. These results are achieved by thermodynamic suppression of lateral etching, keeping etched surfaces clean and smooth using nontoxic fluorine-bas


Feature Products


Vacuum cluster tool robots

The SVR series direct-drive vacuum robots offer reliability of 10 million mean cycles between failures, and high-speed wafer exchange. This advance in reliability derives from a design that uses brushless servo motors directly attached to drive shafts, eliminating failure-prone components such as timing belts, gears, cables, and motor brushes. The SVR 200/300 robots have fewer than 20 moving parts, in contrast to traditional belt- or gear-driven designs that typically have more than 60 parts tha


Feature Products


Fully automated proximity mask aligner Fully automated proximity mask aligner

Model 5000HPP high-performance proximity mask aligner is designed to provide precise alignment and lithography for conventional bumping or photosensitive polyimide processes. It can be used as a cost-effective lithography exposure tool when submicron feature sizes are not required. The standard 5000HPP handles up to 200-mm wafers, and the 5000PRX has been designed for 300-mm wafers. The standard system includes robotic handling, UV light intensity control and feedback, and an advanced auto-align


Feature Products


Toxic gas control software

Emergency Response Manager (ERM) is a software program that allows safety and security personnel and the emergency response team (ERT) simultaneously to obtain predefined response messages to help manage an emergency. In setting up the ERM software, plant personnel program the monitoring system with appropriate response procedures and recommended actions for handling both routine events and emergencies. The software manipulates and processes raw data from air quality and hazardous gas monitoring


Feature Products


Wafer probing system

The Summit line of probe stations consists of four systems with 0-300?C measurement capability, incorporating an ultralow-noise electrical chuck. These manual and semiautomatic probing systems are well-suited to wafer-level-reliability (WLR) tests and IC lifetime tests. WLR tests, made at high temperatures, allow design engineers to accelerate semiconductor stress factors and trigger common failure mechanisms. Many WLR measurements require temperatures in excess of 250?C. The thermal chuck solve


Feature Products


Vacuum cassette elevator for 300 mm Vacuum cassette elevator for 300 mm

The VCE 5 vacuum cassette load lock elevator, for loading and unloading 300-mm wafers into vacuum process tools, satisfies the need for vacuum interfaces to both cluster tools and standalone tools in next-generation fabs. The fully modular design allows equipment manufacturers to interface with a wide variety of factory automation or human operator arrangements. VCE 5 gives semiconductor manufacturers the opportunity to standardize fully the tool end of the transport-to-tool interface in next-ge


Industry Insights


Through the looking glass

When Alice stepped through the looking glass, she found herself in a strange land where the assumptions and conventions of her own world no longer applied. Like Alice`s looking glass, the polished planar surface of a silicon wafer has historically separated the "worlds" of doping (i.e. implantation) and deposition (i.e. PVD). Simply put, implantation is used below the Si surface to produce conducting regions needed for device fabrication - for example, the heavily doped source and drain of a MOS


Showreport


The second China Electronics Industry Forum Preview

China`s information technology industry will undergo an unprecedented expansion well into the next century. Demand for personal computers is forecast at $US 5 billion in the year 2000, up from $2.1 billion in 1996, with a concurrent doubling in demand for mid-range computers and workstations. The gap between domestic production and consumption of ICs is growing rapidly. Current domestic production of 245 million ICs is projected to increase tenfold to 2.5 billion pieces by 2000. Total electronic


Gases


A Users Guide to accurate gas flow calibration

A NIST round-robin survey of 22 flow calibration labs around the US found in 1993 that a surprising number of labs measured gas flow with errors as large as 8%. Many engineers and technicians apparently rely on consistency rather than accuracy, thinking that if their flow calibrations are the same as last month`s, they are accurate enough. This article shows how to make accurate flow standards available throughout the semiconductor industry, and how to transfer these standards from one location


Growing Improved Silicon


growing Improved Silicon Crystals for VLSI/ULSI

Producers of blank silicon wafers routinely meet existing specifications - in terms of resistivity, oxygen level and uniformity, dislocations, and stacking faults. But achieving the higher quality levels and larger wafer diameters required for emerging VLSI and ULSI device applications will be much more difficult. To grow silicon crystals of the required quality, we must first solve increasingly complex problems involving point defects, oxygen content, secondary crystalline defects, melt hydrody


Materials


Digital Mass Flow Controllers come of age

Digital mass flow controllers (DMFCs) have been widely used in production environments. Their unique construction has solved common process and reliability problems, such as accuracy, drift, unwarranted swapouts, surrogate gas calibration, high cost of ownership, and control over a wide range of flows.


Links


KLA mask inspection group gears up for sematech project

KLA Instruments` Reticle and Photomask Inspection Division (RAPID), winner of a three-year SEMATECH development contract for a next-generation reticle inspection tool, will dedicate nearly 100 engineers to the project in an effort to meet aggressive milestones for the tool, which will be able to find defects just 90-100 nm in size using 248-nm light.


Feature


The rewards of a direct Subsidiary in the peoples republic of China

In the formative years of the semiconductor industry, few would have predicted that the Asia/Pacific region would one day become the largest equipment market in the world. By the end of the decade, such a prediction will be a reality. Semiconductor industry growth is being fueled by productivity, and paced by its expansion of manufacturing capacity. Around the world, new fabrication plants are being constructed every month to the tune of billions of dollars, and the Asia/Pacific region represent