Issue



Table of Contents

Solid State Technology

Year 1997
Issue 11

DEPARTMENTS

News


Hua Hong NEC Electronics Co. Ltd.

Work on what is planned to be China`s most ambitious fab is moving ahead, as the new joint venture company Hua Hong NEC Electronics Co. Ltd., Shanghai, has appointed a president and chairman and started construction on the $1 billion project. Zhang Wenyi, Deputy Minister for Electronics Industry, has been appointed chairman of the new company, which will employ about 500 in 1999 and 700 by 2001. NEC Corp. VP Toshihiko Kuniyoshi has been appointed president. The 70-30 joint venture between NEC Co


News


Super Silicon Crystal Research

Super Silicon Crystal Research Institute Corp. (SSi), Isobe, Japan, a large diameter wafer manufacturing company, has placed an order with ADE Corp., Westwood, MA, totaling $3.5 million. The order was for one each of ADE`s advanced Galaxy wafer metrology and surface inspection production systems. The order was placed through Japan ADE Ltd. and Canon Sales, ADE`s Japanese distributors. The equipment will be used in SSi`s development of 400-mm silicon wafers. Delivery is expected for 1998 and 1999


News


AutoSimulations

AutoSimulations Inc., Bountiful, UT, a provider of simulation and scheduling software to the Southeast Asian market, plans to open an office in Hsinchu City, Taiwan. The office will provide local technical support for users of its AutoMod simulation and AutoSched productivity family scheduling software.


News


Chip sales eise in Japan

Chip sales rise in Japan. A resurgent Japanese semiconductor market once again posted strong gains in July, pacing a solid month-to-month uptick in worldwide chip sales, as reported by the Semiconductor Industry Association`s World Semiconductor Trade Statistics. For July, global sales were $11.6 billion, 1.8% better than June`s $11.4 billion, and 13.3% better than July 1996, when sales were $10.2 billion (see table). For the second month in a row, Japan showed the most month-to-month improvemen


News


Amkor Electronics enters foundry market

Amkor/Anam Semiconductor, a division of Amkor Electronics, has begun process qualification at Fab 1 in its new facility in Buchon, South Korea. Fab 1 should reach full capacity in 3Q98. Further expansion is planned as demand warrants, with a Fab 2 schedule to be set in 1998.


News


F.P. International

F.P. International, Sunnyvale, CA, and its Chinese subsidiary, Infopower, Shanghai, will market and support Los Altos, CA-based TYECIN Systems` ManSim and TestSim software for wafer fabrication, assembly, and test in China. China`s semiconductor companies are rapidly expanding and planning new facilities to meet the growing demand for semiconductors in Asian markets. China`s manufacturers want access to leading-edge solutions for maximizing factory productivity. TYECIN offers a broad range of pr


News


Komatsu

Komatsu, Tokyo, is beginning its concerted push for a bigger piece of the lithographic excimer laser pie. The company has begun marketing its KLES-G10K long-life, volume production laser. Current production capacity is just 10 units/year, but this is slated to rise to 240 units annually by March 1998, to 400 units by March 1999, and to 500 by March 2001, through expansions at the firm`s Oyama Plant, Tochigi Prefecture. Komatsu, which already supplies lasers for most Japanese deep UV installation


News


Dongbu Group

South Korean conglomerate Dongbu Group plans to enter the DRAM market by manufacturing 64- and 256-Mbit devices beginning in 1999 and is putting together a management team, say sources in Seoul. As it plans to diversify, Dongbu has already begun producing fax/modem chips. Joo-Hoon Lee, director of the Seoul office of SEMI, said that Dongbu is negotiating to acquire DRAM technology from a foreign chipmaker.


News


Hitachi Chemical Co. Ltd / DuPont Company

Hitachi Chemical Co. Ltd. and DuPont Company, Wilmington, DE, plan to establish a new global joint venture in high performance liquid polyimide materials for microelectronic applications. Polyimides are used for stress buffer and dielectric layers in ICs. The joint venture, HD MicroSystems, will combine the two companies` polyimide coating businesses, R&D, manufacturing, quality assurance, sales, and technical service. The venture will be owned and funded equally by DuPont and Hitachi. A wholly-


News


Virtual pets eat up fab capacity

Virtual pets eat up fab capacity. Virtual pets, such as Bandai Co.`s Tamagotchi toy, are consuming huge quantities of the basic chips used in many consumer electronics devices. As other companies copy the toy`s design, the increased demand has clogged the production lines of Taiwan`s chip foundries, some of which are turning away business from their traditional buyers to accommodate the toy makers. Taiwan`s foundry companies are making 20,000-30,000, 200-mm wafers/month just for virtual pet toys


News


MEMS developments in China

Quanbo Zou, Huikai Xie, Changqing Zhan, Litian Liu, Institute of Microelectronics, Tsinghua University, Beijing, China


World News


Worldwide highlights

Worldwide chip sales reach $11.6 billion. Global semiconductor sales exceeded $11.61 billion in July, a 13.3%


World News


USA

Intel Corp. has signed up Motorola and Advanced Micro Devices to take part in the private EUV Limited Liability Corp., which will spend about $250 million over three years to fund development work at Department of Energy (DOE) laboratories. The EUV-LLC is a private IC industry consortium, presently composed of Intel, AMD, and Motorola, but open to new US members willing to pay the minimum of $5 million. EUV-LLC`s payments to the DOE will support 100% of the costs of developing EUV lithography at


World News


Japan

Orders for Japanese tools on the rise. The Semiconductor Equipment Association of Japan reported that orders for Japan-made production tools hit 110.85 billion yen (about $942 million) in June, 7.3% better than year-ago levels. This was the fifth consecutive month in which year-to-year improvement was logged, said the Nihon Keizai Shimbun newspaper. The report also noted that orders placed for sale in Japan (including domestic and imported tools) showed improvement over year-ago levels for the s


World News


Asia/Pacific

Intel Corp. will open a marketing and sales office in Pakistan - Intel Pakistan Corp. Mohsin Iqbal has been appointed country manager. The company wants to ensure that the latest IT information is available to all those who are buying, selling, or manufacturing computers. Through its Intel Inside program and other marketing activities, the company will work with the computer industry,


World News


Europe

Soitec SA, a French producer of silicon-on-insulator (SOI) wafers, hopes that the arrival of 300-mm manufacturing will let it leverage its proprietary production technique and partnership with silicon giant Shin-Etsu Handotai (SEH). Soitec`s partnering deal with SEH will provide support in terms of equipment development and wafers for extending the Smart Cut production technique to 300-mm. Smart Cut is an alternative to the SIMOX oxygen implantation process that is said by Soitec to produce wafe


World News


SEMI annual dinner 1997

Semiconductor Equipment and Materials International honored three industry notables for their outstanding contributions to semiconductor manufacturing technology at its 24th Annual SEMI Dinner and Award Ceremony (Fig 1).


Eurofocus


High-Tech companies look to Wales as portal to Europe

As high technology has become a global market, savvy executives are looking to Europe for expertise and other benefits in their quest for a global presence. Their reason for choosing Europe is obvious: The European market is as large as the US and Japanese markets combined. Furthermore, the European market is home to 400 million consumers, and is the largest trading group in the world. In addition, advanced consumer electronics is a dynamic growth sector. With such opportunities, it is not surpr


Lithography


Lithography process control

Since its invention in the 1920s, statistical process control (SPC) has been the most powerful tool available for controlling manufacturing processes. Unfortunately, the application of SPC to lithography is not always straightforward. This article discusses some of the difficulties in applying SPC to microlithography, and ways to get around some of these complications. Process control problems that arise during technology development as well as manufacturing are also discussed.


Tech News


Industry funds DOE lithography development

In hopes of enhancing the competitiveness of the US semiconductor industry and ensuring the future safety and effectiveness of the nuclear arsenal via lithography, Frederico Pe?a, secretary of the Department of Energy (DOE), announced a Cooperative Research and Development Agreement (CRADA) between DOE and the Extreme Ultra-Violet Limited Liability Co. (EUV-LLC). The EUV-LLC is a private IC industry consortium, presently composed of Intel, AMD, and Motorola, but open to new US members willing to


Tech News


IBMs ASIC technology uses copper interconnects

IBM Corp., East Fishkill, NY, said it has successfully harnessed a copper metallization process, and has put the technology to work on a pilot production level. Known as CMOS 7S, the technology employs a six-layer copper interconnect process instead of aluminum, and is capable of producing feature sizes down to at least 0.2 micron. IBM officials agreed with industry analyst predictions that the breakthrough gives the chipmaker about a one- to two-year lead on its competitors.


Tech News


Silane remains unpredictable suppliers adapt

The Silane Conference, held in conjunction with ASMC in Cambridge, MA, and cosponsored by the Semiconductor Safety Association (SSA), SEMATECH, SEMI, and the SIA, included discussions about the reactivity of silane, from both a historical and recent perspective, as well as discussions about wet bench fire safety. Approximately 50 attendees participated. An often-discussed topic at SSA meetings - the sometimes unpredictable tendency of silane to burn or explode - occupied the majority of the talk


Tech News


AlliedSignal touts spin-on dielectric

As part of its plans to extend its presence beyond the spin-on glass market into dielectrics, AlliedSignal Electronic Materials, Los Gatos, CA, has unveiled an inorganic spin-on polymer that the company says will provide a lower-cost alternative to CVD/CMP processes


Tech News


Thumbnail outlooks: Whos hot?

Each graph shows Dataquest`s estimate of sales in a different sector of the fab equipment market. While all are expected to increase from 1996 to 2002, some do better than others. Dry etch, for example, is expected to show a CAGR of just 8%, largely because many of the process steps performed in etchers will move to CMP - hence CMP`s 29% CAGR. Another interesting comparison is between medium-current implanters, which will grow fairly slowly, and high-voltage implanters, which should see a sales


Tech News


Continuous flow manufacturing for adaptive fab management

As yield-based improvements in productivity become more difficult to achieve, fab managers have looked to capital utilization and cycle time reduction for additional gains. Unfortunately, these two goals are contradictory, according to Olivier Ruelle, of IBM France, speaking at the recent IEEE/SEMI Advanced Semiconductor Manufacturing Conference in Cambridge, MA. Full equipment utilization (lowest cost of ownership) creates bottlenecks behind low throughput or high maintenance tools, increasing


Market Watch


Maskmaking gains new prominence as device rules shrink

As 1997 nears an end, the pattern generation and maskmaking sector of the semiconductor industry is ramping up to provide the next wave of change, as device design rules obey Gordon Moore`s famous law and prepare to shrink once again. This year we will see 0.25-?m semiconductor devices in volume production with 0.18-?m devices not far behind. Improvements in mask pattern generation (PG) and advanced maskmaking techniques are critical milestones on the industry`s path to smaller design rules.


Market Watch


Doing Business in Korea today and into the next century.

In today`s global economy, capital equipment suppliers no longer have the luxury of focusing on one or two major markets. In fact, the success of each of our companies is inextricably linked to our ability to adapt to differing cultures and to provide specific value-added products and services to each of the worldwide market regions. This article will attempt to focus on the unique characteristics of securing and sustaining business in Korea.


Letters


Far-reaching implications

Regarding your editorial ("Vocational education vs. the ivory tower," p. 16, August), I wanted to tell you: Right on! The points you make in that article are correct. Moreover, their implications reach farther than universities and semiconductor manufacturing.


Letters


Accurate article

I appreciated seeing the article you wrote about Canon`s epitaxial layer transfer (ELTRAN) method ("Canon introduces SOI-EPI wafer," Technology News, p. 44, September). It was well written and accurate.


Letters


Electronic subscriptions

It`s convenient that your subscription requests can now be submitted electronically, instead of having to fill in all of the circles!


Letters


Cover to cover

I read your wonderful magazine cover to cover each time on airplanes and in hotels, and I never remember to acknowledge you and your team of editors and guest writers. Keep up the good work.


Product News


High-throughput mask aligner

The MA150CC mask aligner handles 3-8-in. wafers and 6 ? 6-in. square substrates at a throughput of 120 wph (including autoalignment). It is especially suitable for exposure of thick resist and high topography and is mainly used for wafer-bumping and the production of MCMs, microsystems, and thin film heads. The pattern recognition system allows an autoalignment accuracy of 1.0 ?m. High intensity full field exposure optics contribute to efficiency by permitting shorter exposure times. Options inc


Product News


Lithography system for MEMS development

The EV680 automated single step exposure system eliminates the need for contact between the mask and substrate. Because of the inherent thickness unevenness in silicon wafers, an alignment correction for wedge error is required for accurate exposure. Wedge error compensation used to require some contact between mask and substrate, but the EV680`s optical measurement system allows wedge error correction with no mask contact. This lengthens mask life, prevents contamination, and improves exposure


Product News


Recticle inspection enhancements

Two new reticle and photomask inspection enhancements, the Advanced Performance Algorithm (APA) and the High Resolution (HR) option, make possible highly accurate and reliable inspection of 0.25-micron reticles, including those with complex OPC geometries. APA is a new feature for the RAPID 300 series reticle pattern inspection system; HR is an option for the STARlight reticle contamination inspection system. KLA-Tencor Corp., San Jose, CA; ph 408/875-3000, www.kla-tencor.com.


Product News


Annealing system

This batch processing, production annealing system is designed for slip-free activation of ion implanted gallium arsenide and for repair of ion implanted lattice damage on silicon wafers. In applications where decomposition of the wafer material during annealing is of concern, the system is provided with an over-pressure of the volatile component. Annealing atmospheres of arsine, hydrogen, forming gas, ammonia, argon, and others are available. In gallium arsenide, the arsenic overpressure is use


Product News


Photoresist removal

The A3010 photoresist removal chamber is now available on this company`s Performance Enhancement Platform. The new tool, PEP 3010A, features lamp-based chamber heating (allowing rapid changes in chamber temperature), and closed-loop temperature control for precise monitoring of wafer temperature during processing. Modular design makes maintenance easy, and a user-friendly graphical user interface facilitates the tracking of wafer statistics. The PEP 3010A is compatible with both 150- and 200-mm


Product News


Total reflection x-ray fluorescence

Total reflection x-ray fluorescence analysis tools are widely used for elemental contamination control on silicon wafers; the TXRF 8030W combines extreme sensitivity with multielement capability and reliable operation. Applications include incoming inspection of starting material, monitoring of cleaning processes, and equipment setup and control. The tool operates under ambient conditions with sealed x-ray tubes, resulting in a small footprint (0.66 m2). Atomika Instruments GmbH, Munich, Germany


Product News


Condensation particle counter

The model 7625 condensation particle counter (CPC) is a sensitive instrument for continuous monitoring of cleanroom and inert gas environments. It is designed to measure ultrafine particles in cleanrooms, as well as test HEPA and ULPA filters. Sizing sensitivity is available at 20 nm with a flow rate of 0.1 cfm. The 7625 produces a high signal-to-noise ratio that reduces false background counts almost to zero, and it features a built-in microprocessor and LCD display that shows concentration val


Product News


Wafer stresses and displacements

MacWafer is a specialized software tool for computing thermal and gravitational stresses in silicon wafers and the effect these stresses have on the allowable temperature variation, maximum temperature ramp rates, and maximum slip-free processing temperature. The interactive PC-based tool also computes wafer displacements and permits user-specified geometry of the wafer support, including multiple points and rings. This software tool is useful in designing processor and inspection hardware and p


Product News


Cleanroom automation software

iMaven is a complete control solution for automation in cleanroom environments. It includes MCS, Cell Control, and Auto ID components in one pre-integrated solution, addressing the 300-mm processing needs of intra-bay transport control while continuing to provide high-quality inter-bay transport control. Components in the iMaven architecture are plug and play. Each component is a group of one or more services - services that other components use to perform their tasks. The sharing of services in


Product News


Thin-film metrology tool

This table-top, thin-film metrology tool features a high-speed measurement head with no moving parts, a high-precision computerized stage, auto focus, and a Windows-based operating system. The NanoSpec 6100 uses noncontact spectroscopic reflectometry technology with an operating wavelength range from the UV through the visible, offering flexible measurement capability for localized film thickness determination and area mapping. Nanometrics Inc., Sunnyvale, CA; ph 408/746-1600, fax 408/720-0196,


Product News


Scanning probe microscope

The Dimension 3000 scanning probe microscope has been enhanced to provide easier operation, improved functionality, and CE certification. The Dimension 3100 includes all the features of its predecessor, plus an x-y stage that provides better bidirectional repeatability and speed, as well as improved trackball response. The illuminator is computer-controlled for easier focusing and zooming for optical location of features for high-resolution scanning. Video image capture capability is included, a


Product News


Quadropole ICP-MS

The VG PlasmaQuad 3 high-performance ICP-MS system provides very high sensitivity and a very wide range of matrix tolerances. It is fully automated, allowing the operator to perform routine or research analysis easily. PlasmaScreen Torch allows operation in a standard mode, as well as cool plasma mode for the determination of selected elements. The cool plasma virtually eliminates the interferences and background noise that can impede the determination of elements such as Na, K, Fe, or Ca. S-Opt


Product News


Turbodrag pumps

These maintenance-free, oil-free, vibration-free, magnetically suspended bearing turbodrag pumps - the TMH 1600 MC, TMH 1000 MC, and TMH 400 MC - are designed for processes such as CVD, reactive ion etching, sputtering, ion implementation, and diffusion. The pumps feature noncontact magnetic bearings that eliminate the need for lubricants. A proprietary internal pump surface coating protects against corrosion, and the temperature management system prevents the condensation of aggressive gases, m


Product News


Solder removal system

The Scavenger system is a noncontact, automatic solder removal system for BGA rework. Using both thermal and vacuum technologies, it completely removes residual solder from BGA pads after removal of the defective device, allowing proper site preparation for the replacement BGA. The programmable nozzle both heats and draws away solder deposits simultaneously without touching the board surface, moving from pad to pad until the site is free of solder. Scavenger eliminates typical problems associate


Product News


temperature control systems

The Tempryte series of high-reliability temperature control systems offers single- and dual-channel systems for DI water/glycol and perfluorinated fluids. Leak-free performance is achieved through the use of magnetically coupled gear pumps and custom-designed O-ring fittings, eliminating all thread, compression, and hose clamp fittings. Temperature ranges from -40 to +130?C are available, and the systems are designed for the needs of most commercial etch, CVD, RTP, CMP, and other processes. All


Product News


Vibration isolation platform

The Series 9300 BaseMate platform offers good horizontal natural frequency for large equipment that requires isolation from its surroundings, such as SEMs, TEMs, CMMs, steppers, and mask aligners. The platform, available in four standard mount configurations, uses a two-stage pendulum and airmount isolation system that gives good omnidirectional control. Stage One is a low stiffness/high performace Active-Air Leveling system with very low vertical natural frequency, maximizing vertical isolation


Product News


SMIF robots

These new SMIF robots, ERGOSPEED 3000, are ergonomically designed to ease the loading and unloading of wafers. The key to the low-load system is an elevator mechanism that allows the transport of the wafer pod between an ergonomically positioned port plate and the operation level of the process tool. The operator places the pod on the plate, locks it into place, then raises the pod to the equipment loading height. When the pod door opens, the cassette is transferred between the pod and the equip


Product News


On-site nitrogen generation

The Spectra-N 10000 generator is designed for fabs that require large quantities of ultrahigh-purity nitrogen. Its capacity exceeds 400,000 standard cubic feet/hour (scfh) of gaseous nitrogen (10,500 Nm3/hour) with single-digit ppb impurities available. A standard feature is a liquid oxygen column that can produce ultrahigh-purity oxygen at rates >3000 scfh (78 Nm3/hour). The Spectra-N 10000 eliminates inerts as well as the more commonly specified impurities, and its PreAir catalytic system remo


Product News


Multifunction analyzers

The Moisture Series Analyzers make a series of measurements using one analyzer in combination with a choice of sensors and transmitters, including trace moisture (to ppb levels), trace oxygen, percent oxygen, gas composition, and temperature and pressure. The Thin-Film Aluminum Oxide Moisture Probe can be provided with an onboard temperature thermistor and pressure transducer to measure trace moisture, temperature, and pressure with one probe. Nondepleting electrochemical oxygen sensors, thermop


Product News


Target materials

These new target materials, for sub-0.25-?m design rules and 300-mm wafers, include copper, copper alloys, tantalum, tantalum alloys, and cobalt. They use a diffusion bonding process and nondestructive testing procedures to ensure good thin film quality, enhanced PVD performance, and low cost of ownership. The copper targets feature 6N purity with very low gas content and 50-?m fine grain size. Fine-grain tantalum targets give very consistent nitrided or non-nitrided films, and tantalum silicide


Product News


Vacuum robot safety

The Smart Dynamic Brake is a new feature of this company`s vacuum robot designed to prevent wafers from breaking in a vacuum process chamber in the event of a power failure or an Emergency Machine Off (EMO). Together with the SVR200/SVR300 absolute position capability, the brake allows for safe, automatic wafer-transfer system restart following a power failure in any process tool. The robot arm will decelerate at a safe speed along the same path it was traversing prior to the EMO or power failur


Product News


Chemical pump series

The Maxim series high-purity chemical pumps incorporate a removable and interchangeable air logic control base. They contain no metal parts in either the pump or control base, for high-purity, high-temperature applications. All wetted parts are constructed from PTFE or PFA. The removable air logic control base allows for servicing without the need to disconnect the chemical plumbing, and through the use of an interchangeable control base and pump options, the Maxim can be specifically configured


Services


fab expenditures sourcebook

This 152-page book titled The Sourcebook of New Fab Projects details estimates of new fab equipment and construction spending by project and by region from 1994-2001. Construction categories covered include site development, architectural systems, structure and shell, ultrapure water, process piping and systems, mechanical, cleanroom, and electrical. Equipment categories include process equipment, test equipment, automation, CIM, and hookup spending. Company profiles with capital spending histor


Services


RTP report

This two-volume report provides information on the rapid thermal processing (RTP) field for marketing, strategic planning, and technology assessment. Volume 1 covers companies, products, and markets; Volume 2 covers technology and applications. Basic, epitaxial, and CVD RTP grew 45% in 1996. Equipment shipments are now past the $500M annual level, and are projected to reach $1.7B by 2001, more than half of which will be basic RTP (heating only, no deposition). Primarily a single-wafer technique,


Services


Internet semiconductor search database

This comprehensive internet search engine is specifically designed for the semiconductor industry. By accessing the web site www.semisearch.com, users can obtain information about suppliers and products, including contact information, links to appropriate web sites, and on-line catalogs when available. The contents of the site will be expanded in the near future to include current technical publications, industry association information, conferences, and training information. FabLink Corp. Inc.,


Services


Process/metrology data collection service

This service defines data collection from process and metrology equipment for fab and assembly operations, bridging the vocabulary and technical gap between process/equipment engineers and CIM engineers. Process/equipment engineers know what data they need to collect, but may not fully understand how and when to get the data out of the equipment; CIM engineers know how to move and manage data on a factory network. What has been missing is a method for collecting data through the SECS/GEM interfa


Services


Surface and interface symposium proceedings

This 505-page book titled Control of Semiconductor Surfaces and Interfaces documents symposium proceedings from the 1996 Materials Research Society (MRS) Fall Meeting in Boston, MA. Semiconductor surfaces and interfaces play a vital role in modern-day electronic devices. This is especially true as device dimensions shrink. The properties of clean surfaces and chemically processed surfaces can also have an impact on the properties of layers grown subsequently. Surfaces and interfaces may exhibit


Services


Expanded flip chip email form

In an effort to spread the knowledge and use of flip chip technology beyond a handful of large companies, the National Electronics Manufacturing Initiative (NEMI) has joined the Institute for Interconnecting and Packaging Electronic Circuits (IPC) to expand IPC`s e-mail help forum, ChipNet. The ChipNet forum has been used as a communication vehicle for members of technical committees developing the IPC J-STD-012, Implementation of Flip Chip and Chip Scale Technology. This standard deals with fli


Services


1997-1998 continuing education catalog

This 88-page catalog contains a section on live, video, and in-company courses available in microelectronic manufacturing, microlithography, and photochemical coatings, interconnects, and MEMS. Courses cover subjects like the physics of metrology instruments; plasma processing; advanced silicon wafer cleaning; semiconductor materials and device characterization; chip reliability; advanced stepper modeling, characterization, control, and matching; resist thickness bake, exposure, and development


Services


Japanese FPD report

This publication titled The Future Prospects for the Liquid Crystal and Related Display Markets Report was developed by the Japanese research organization, The Fuji Chimera Research Institute, for the Japanese display industry, and has been translated into English. It contains information gathered through extensive interviews with Asian industry leaders, and covers application trends; trends in the demand for materials and components; technology trend projections; pricing projections; market sha


People


People Update

President Clinton has nominated Ray Kammer as director of the National Institute of Standards and Technology (NIST) at the US Department of Commerce. Kammer has served as deputy director of NIST from 1993 to the present. He is also acting CFO, assistant secretary for administration, and chief information officer for the Department of Commerce.


Editorial


Virtual fabs give industry a higher reach Virtual fabs give industry a higher reach

The semiconductor industry has picked the low-hanging apples of wafer yield. Now, with the advent of 300 mm, achieving success is a farther reach. The industry may experience some of its most challenging times yet due to the gargantuan task of 300-mm production, coupled with an added number of wafers in process, and the increasingly stringent demands for environmentally sustainable manufacturing. On the plus side, the 300-mm transition, because of the retooling involved, will let many companies


FEATURES

Deposition


Japanese research post-DUV lithography

With great uncertainty in the direction of post-optical lithography, the worldwide semiconductor industry is evaluating the costs and potential paybacks of investing in fundamentally new technologies. A Japanese government research institute conducted a survey of the Japanese semiconductor industry to quantify the required investment levels, and to suggest specific directions for next-generation lithography infrastructure research.


Deposition


Eyes on the Bauhinia

China is the largest emerging market in the semiconductor industry, while Taiwan has witnessed phenomenal and continuous growth in its industrial sector. Armed with substantial funding and large technical and labor forces, the Chinese community as a whole plays a dominant role in the industry. The general well-being of the region hinges on the smooth transition of Hong Kong back to Chinese rule.


Deposition


Photosensitive polyimides in semiconductor manufacturing

The proliferation of compact lightweight electronic devices, such as portable phones and computers, has increased demand for smaller, thinner, and lighter chip packages. At the same time, advanced chips have become larger in area. These factors have posed considerable challenges to chip packaging technologists, particularly in preventing damage to the chip from the package itself, or during the packaging operation.


Deposition


Accelerated time to market for future 300-mm fabs

The semiconductor industry`s legendary ability to reduce cost/function by approximately 30% a year has been a key factor enabling its explosive growth. It is also taken as an article of faith that the industry will continue to grow rapidly if it can maintain its historical learning curve. The current transition to 300-mm wafers provides a clear opportunity to improve the methodology of building and running fabs.


Links


Hands-on vacuum training at the technical college level

When I started in the semiconductor industry, there were only two pieces of vacuum apparatus in or near the fab - an aluminum evaporator for the deposition of really thick single metal conductors, and a gold evaporator for putting enough gold on the wafer backside so that the chips could be stuck into a ceramic package. The vacuum process was simple: load the wafers into the fixture, wrap some wire around a filament, close the bell jar, pump the system to somewhere below 10-5 torr, and evaporate


Feature Products


Wirebonding to multilevel metal

Changes in the materials and interfaces below bond pads have altered the requirements for wirebonding. Different pad structures were investigated by statistically designed experiments to optimize bond strength and reliability. The ball shear test continues to provide the best measure of bond integrity, including failure mode information.


Feature Products


CMP Tool

These products for 300-mm isolation technology-based material handling, the SMIF-300 Series, are designed around the 300-mm front-opening standards, such as FOUP and 300-mm Interface. The SMIF-300FL load port complies with the latest SEMI and I300I industry guidelines and is compatible with all standard 300-mm unified wafer pods (25 and 13). The system maintains better than a Class 1 environment while transferring 300-mm wafers into a loadlock chamber, using an optional fan filter unit. Also, th


Feature Products


300-mm SMIF series

The PM200 cluster tool system is designed to process 200-mm wafers (and smaller) at a rate of up to 50/hour (dry-in-dry-out). It consists of two cleaners, two polishers, and an integrated handling system that occupies 6 sq m of floorspace. Cluster tool software provides fully integrated control of each tool through a master control


Feature Products


Water probing station

The Horizon 4090? wafer prober, offered with integrated mini-environment and SMIF, supports fab environments of both parametric test (or in-line electrical test) and sort floor areas. In addition, it supports the Class 1 test and high load at sort requirements of =0.25-?m design rules and emerging flip-chip and controlled-collapse chip connection (C4) technologies. The 4090? is designed to perform high-force probing (over 70 kg), accommodating the high pin counts and loading by all advanced prob


Feature Products


Wafer dicing

The NSX-250 single-blade wafer dicing system offers good machine rigidity, an overarm spindle support, three layers


Industry Insights


Managed risk: The Applied story

James C. Morgan, chairman and CEO of Applied Materials, joined the company in 1976 as president and became CEO in 1977 and chairman in 1987. He was previously a senior partner with WestVen Management, a VC affiliate of Bank of America. Prior to WestVen, he was an executive in two high-technology divisions of Textron. Morgan received the 1996 National Medal of Technology, and has advised US presidents. He is director emeritus and past president of Semi, and a past board member of the American Ele


Industry Insights


Prospects for taiwans Electronics Components industry

Since the early 1990s, several major changes have occurred in Taiwan`s electronics components industry. First, firms have optimistically and aggressively increased investment and expanded facilities. Second, several major electronics firms (e.g., UMC and TSMC) have begun to participate in the high-value-added market for strategic IC components, such as CPUs and DRAMs, which they had previously shied away from due to inadequate capabilities. Finally, nonvolatile memory, a specially designed techn