Issue



Table of Contents

Solid State Technology

Year 1997
Issue 6

FEATURES

Productivity


Managing CMP Contamination with environmentally enhanced enclosures

Contamination associated with CMP slurry, solvents, and ambient impurities must be controlled to reduce related defects. Most current enclosure designs, however, are insufficient for total control of the polishing process environment. Both operators and products benefit from an integrated enclosure system that minimizes contamination and controls environmental conditions and exhaust in the CMP tool. Laminar filtered airflow, dynamic chemical exhaust, high relative humidity regulation, and engine


Vacuum Technology


A new Calibration standard for process pressure guages

Ever more stringent process requirements are driving the development of higher-performance gauging and control instrumentation for next-generation equipment. Total pressure will continue to be among the key physical parameters in advanced PVD, CVD, and etch processes. The 1-10 mtorr region has been problematic for traditional primary standards. Calibration of process instruments in this range has relied on transfer standard gauges that have themselves been calibrated at higher pressures against


Implantation


Charge Control for high-current ion implant

The electrostatic potential of high-current positive ion beams may reach hundreds of volts unless the space charges are compensated with electrons. Potential in excess of 10 V induced over sub-100-? gate oxides will deteriorate oxide integrity. Thus, all high-current implanters incorporate either secondary electron flood (SEF) or plasma electron flood (PEF) hardware to generate and inject electrons into the ion beam to reduce beam potential.


Deposition


Electrochecmical Planarization of ULSI copper

Electrochemical planarization (ECP) of copper has been demonstrated on ULSI damascene features. The basic process involves two stages: cathodic plating into vias and trenches, and anodic electropolishing down to a seed layer. Resulting metal structures are void-free. A semi-automatic aqueous processing system, based upon an easily controlled chemistry, has achieved reasonable uniformities. This simple process could be much less expensive than alternatives for =0.25-?m interconnects.


Links


Semi-E10-96 A standard for better communication

Equipment suppliers and users are beginning to look beyond simple tool-specific performance metrics, such as mean time between failure and mean time to repair, to better measure and understand the broader implications of equipment performance. Productivity metrics and measurement methods like those found in total productive manufacturing are being used more often. Since the industry will be well served by providing a standard that defines and differentiates these metrics and methods, and by prov


Cmp


Pad conditioning in interlayer dielectric CMP

Degradation of interlayer dielectric (ILD) removal rate and short pad life are serious concerns with chemical mechanical planarization (CMP) technology. Glazing of the pad surface and inadequate pad conditioning are primary causes for the decrease in removal rate. This study investigates the effect of pad conditioning on removal rate and nonuniformity using various characterization techniques. The results imply that the degradation of removal rate is a surface phenomenon and that chemical intera


Gases


Next generation CVD Aluminum precursors pose new handling challenges

Among newer materials explored for advanced CVD processes are aluminum compounds in place of more traditional precursors such as tungsten hexafluoride (WF6). New processing materials can bring with them new processing challenges (and often handling and safety issues as well). While WF6 reacts with air and water to form poisonous compounds like HF, aluminum precursors tend to be pyrophoric and ignite upon reaction with air and water. With knowledge of their physical and chemical properties, and p


Gases


Cylinder Package effects on the purity of the electronic specialty gases

The composition of electronic specialty gases (ESGs) will remain unchanged for over two years in a well-prepared and passivated cylinder. Nevertheless, the cylinder package can affect the purity of an ESG if improper materials of construction, preparation, or handling techniques are employed. This report discusses these effects and how they can be avoided.


Industry Insights


LPCVD Components trend toward SiC

More and more IC process engineers are replacing quartz furnace components with SiC. The relatively higher thermal expansion of SiC reduces thermal stress in deposited films that accumulate in the furnace, allowing SiC components to remain in the furnaces for longer periods than quartz. SiC`s resistance to HF and HNO3 allows repeated cleaning and reuse.


Industry Insights


Ultrasonic Multiplayer Metal film metrology

Picosecond ultrasonic laser sonar (PULSE) is the first metrology technique capable of measuring multilayer metal films directly on production wafers. PULSE uses ultrafast light pulses to launch ultrasonic waves into such films, then analyzes the resulting echoes to measure the thickness of all layers simultaneously with a precision of better than 1%. This method can measure stacks of six or more layers with individual layer thicknesses in the range from <20 ? to over 5 ?m. It can also characteri


Industry Insights


High-Resolution profilometry for CMP process control

Chemical-mechanical polishing (CMP) process cells commonly use profilometry to measure the post-CMP planarization of the wafer. However, as the feature size of ultra large-scale integration technology decreases, it has become increasingly difficult to resolve individual surface features using a profiler. Here we present a new instrument, a high-resolution profiler (HRP), which can be used for surface metrology in the spatial wavelength range of 30 mm to 10 nm. HRP can, for the first time, measur


Industry Insights


Partnering: Going beyond lip service

A buyer in search of a vendor has many choices. If Vendor A`s quality, product features, delivery, or prices do not meet the needs for a particular order, the customer can simply call Vendor B. A partner, on the other hand, is something unique - something relied on through good times and bad.


Feature Products


Post-CMP oxide and tungsten wafer cleaning

Model 200 features a double-sided brush scrubbing system that simultaneously cleans both sides of the wafer with a PVA sponge scrub brush. Per step process control is provided for brush squeeze pressure from programmable electronic pressure controllers. The 200 is suitable for brushing with dilute acid solutions compatible with the PVA brush, including HF, HCl, H2SO4, etc. The system enables cleaning capabilities to be used in any sequence. Standard equipment includes one or two sets of double-s


Feature Products


CD SEM

The S-8840 CD-measurement SEM uses a flashless electron source to provide 40-? resolution at an operating voltage of 800 V, eliminating charging and ensuring proper imaging and measurement. The automated CD-measurement function is facilitated by wafer alignment via an optical microscope and a real-time auto-focus system. A new loadlock system and a rapid stage drive contribute to the instrument`s 26-wafer/hour throughput. Simple operation is based on a user-friendly GUI, allowing intuitive manag


Feature Products


Stepper series

Three new i-line lithography systems, based on the Saturn platform, provide simplified optics and a large field size that can mix and match with both leading-edge 5? steppers and advanced step-and-scan systems. Saturn I, designed for mature fabs, provides 1-?m resolution and alignment capability of 120 nm; Saturn II, for production of 16- and 64-Mbit DRAMs, features 0.8-?m resolution and alignment of 90 nm; and Saturn III, for mix-and-match with step-and-scan systems in 0.35- to 0.25-?m processe


Feature Products


Bond aligner

This new tool aligns a stack of up to three transparent or nontransparent wafers (up to 6 ? 6 in.) for a subsequent bonding step and is equipped with the Inter-Substrate-Alignment System (ISA-System), which requires alignment targets only on the bond sides. The ISA principle aligns wafers by placing two pairs of video image microscopes between two substrates. Each pair consists of two accurately adjusted microscopes for simultaneous viewing of the two surfaces to be bonded. The alignment of the


Feature Products


Transparent film metrology

The VANGUARDSpectralLASER 200 XL metrology system uses lasers at 458, 633, 780, 905 nm and a DUV reflectometer to give accurate, repeatable results on thick and thin transparent films in CMP, CVD, diffusion, etch, and lithographic applications. The multi-angle, multi-wavelength system provides unambiguous t, n, k, and - with the addition of the DUV reflectometer - complete characterization of ARC and BARC films at the 365-, 248-, and 193-nm UV exposure wavelengths. The use of four lasers, cover


Feature Products


PVD cluster tool

The Inova PVD cluster tool offers low-cost, production-worthy metallization for 0.18-?m geometries and beyond. Among the cost-saving features is the system`s sputtering source, which extends planned maintenance intervals by delivering high material utilization for longer target and shield life. Inova`s ultrahigh vacuum system provides very good device yields and film quality by achieving a very low base pressure and high gas conductance. This is achieved through the technique of sequential, indi


Feature Products


Epoxy die attach system

Apollo 2000 is an electronically programmable, automatic epoxy die attach system for leadframe and BGA applications. Features include: programmable illumination at all three camera locations - pre-bond, wafer, and post-bond; positive displacement epoxy dispensing; dual input capability for both magazine and unstacker/paper separator; die placement accuracy to ?0.001 in.; programmable bond line thickness control to ?0.0005 in.; and rates up to 3200/hr for leadframes and 2000/hr for BGAs requiring


Feature Products


Laser Marking system

The InstaMark Insignia laser marking system, in its high-speed configuration, can mark 1-mm-high characters at >80 linear in./sec. With new galvanometer and steering control systems, it provides marking accuracy of 0.001 in. throughout a 12 ? 12-in. marking field, even at high speeds. The laser marking head is sealed at the factory and requires no maintenance - no optics cleaning, mirror replacement, Q-switch cleaning, or optics or Q-switch realignment. The laser head will maintain optimum perfo


Feature Products


Unpatterned surface inspection

The Surfscan SP1 is an in-line, unpatterned surface inspection system that detects all defect types in a single pass at throughputs of up to 150 wafers/hour on 200-mm wafers, and 100 wafers/hour on 300-mm wafers. Material defects and contaminants down to 79 nm are uniformly and repeatably detected. The system features Stationary Beam Technology, which combines a stationary illumination beam, axi-symmetric collection optics, multiple dark-field collection channels, and an automated bright-field c


Feature Products


Unpatterned surace inspection

The Surfscan SP1 is an in-line, unpatterned surface inspection system that detects all defect types in a single pass at throughputs of up to 150 wafers/hour on 200-mm wafers, and 100 wafers/hour on 300-mm wafers. Material defects and contaminants down to 79 nm are uniformly and repeatably detected. The system features Stationary Beam Technology, which combines a stationary illumination beam, axi-symmetric collection optics, multiple dark-field collection channels, and an automated bright-field c


Feature Products


Thin film visualization system

The AcuMap II provides whole wafer visualization in the time conventional metrology takes to measure a small number of points. Using spectral reflectometry, it dis-plays tens of thousands of points, allowing the user to monitor, characterize, and visualize film thickness uniformity with greater statistical confidence - with zero edge exclusion. AcuMap II color displays and statistical output include thickness maps, 3-D grids, 3-D surface images, cross-sections, contour maps, difference maps, err


Feature Products


FPD probe station

Model 2250 is a large-area manual probing station for flat panel display substrates. Technologies for which the prober is designed include nonemissive LCD, digital light processing, and grating light valve display panels, as well as emissive plasma, field emission, and electroluminescence display panels. The station is mounted on a vibration-isolation air table and features two manipulator platens that are independently movable to provide X direction probe positioning. Each platen in turn featur


Program


Technical Program, July 13-18, San Francisco and San Jose, CA

SEMICON/West `97 events begin Sunday, July 13, and continue through Friday, July 18. Wafer processing events will be held in San Francisco, while test, assembly, and packaging events will be held in San Jose.


DEPARTMENTS

Market Watch


TFT-LCD equipment for the year 2000 Monitor Market

The thin-film transistor/liquid crystal display (TFT-LCD) industry is at a critical juncture. The demand for TFT-LCDs will likely increase over the next five years if TFT-LCDs can successfully displace CRTs for most desktop PC and workstation applications. In order to address this larger consumer market, the industry must cope with several challenges:


Lithography


Chemically Amplified resists for advanced lithography: Road to success or Detour?

Chemically amplified (CA) resists play an important role in the semiconductor industry, primarily for 248-nm lithographic applications. Great advances have been made in the development of these materials, though some issues remain. This paper explores the development of CA resists and demonstrates some of their limitations for more advanced applications. The CD budget crunch may limit the utility of CA resists. Recent efforts to design resists in fundamentally different ways face daunting challe


Asiafocus


Keys to Doing business in korea

Over 5000 years of Korean history has led to a series of unique challenges for suppliers of capital equipment. More than 36 years of military occupation has created an attitude of mistrust and self-reliance. Unlike in the US, where a manager`s orders are often questioned, subordinates in Korea are trained to accomplish the task no matter what it takes.


Asiafocus


Semi Bejing Conference attracts record numbers

The SEMI Beijing 96 Conference and Exposition, which closed November 25, was the largest SEMI event to date in Beijing, drawing 1531 attendees and more than 400 technical conference participants. The exposition featured 138 exhibitors representing 10 countries. The event highlighted a week of SEMI activities in China, which also included a market briefing, standards meetings, and a trade mission.


Asiafocus


New fabs drive equipment growth in china

Opportunities in China for semiconductor equipment makers will continue to grow over the next few years, said the Semiconductor Equipment and Materials International (SEMI) trade association. SEMI estimates the semiconductor equipment market will reach US$700 million by the end of 1997, driven by nine new fab investments planned for completion through the end of 1998. SEMI VP of international operations Paul Davis acknowledged the commitments of the Chinese government to further its microelectro


Tech News


193-mm Breakthrough at japans ASET group

The Japanese government-funded Association of Super-Advanced Electronics Technologies (ASET) research co-op has successfully fabricated features ranging from 0.14 to 0.08 ?m using 193-nm lithographic techniques, and will begin transferring some of its research findings to the industry-funded SELETE research and development organization where 300-mm work and other development is taking place.


Tech News


wafer fab industry backs patent reform effort

An amended version of a patent reform bill supported by SEMI and much of the semiconductor industry has passed in Congress, but the bill`s proponents say there`s still more work to be done to protect companies.


Tech News


Optimizing 193-nm Optics

As the semiconductor industry looks forward to 193-nm lithography, most discussions of optics have concentrated on the projection lens. While the lens is certainly crucial, other parts of the beamline can also affect system performance and reliability. Mirrors and beam splitters can absorb UV radiation, reducing the energy actually reaching the wafer plane. Moreover, UV radiation below 220 nm generates ozone from oxygen. Ozone, in turn, attenuates UV energy and may attack some optical components


Tech News


NASA research fab opens to industry

A 24,000-ft2 research and development fab owned by NASA is now available for use by the chipmaking industry. Under its Commercial Technology Program, NASA is opening the Goddard Space Flight Center Detector Development Laboratory (DDL) in Greenbelt, MD, to contract semiconductor R&D. Companies can work with NASA under a variety of agreements, ranging from a memorandum of agreement to a patent license with NASA coordinating among multiple companies as needed.


Tech News


Photodiodes may replace human photoreceptors

Over the last several decades, artificial hips and pacemakers have become commonplace. Cochlear implants restore partial hearing by directly stimulating the acoustic nerve. Now, a German research group is investigating a new approach to visual prostheses for blind people.


Tech News


Ibis Introduces low dose oxygen wafers

Using a low dose oxygen implantation process, Ibis Technology Corp., Danvers, MA, is producing a new SIMOX silicon-on-insulator (SOI) thin buried oxide wafer for advanced CMOS devices.


Tech News


MRC sputtering tools manufactured by outside contractor

In a deal that could be an indicator of changes in the way chipmaking tools are built, semiconductor industry newcomer Derlan Inc., Santa Ana, CA, is expected to begin manufacturing Materials Research Corp.`s sputtering systems later this year under a three-year, $150 million agreement.


World News


World Highlights

Semiconductor equipment book-to-bill up for March. The North American semiconductor equipment industry posted a book-to-bill ratio of 1.17 for March, up from a revised ratio of 1.07 for February (see figure), according to SEMI. Total equipment orders in March rose 15.6% to $1.28 billion over the previous month, yet were 12% below the March 1996 level. Total equipment shipped by North American suppliers this March increased 6.2% to $1.09 billion over February, yet were 13% below the March 1996 le


World News


USA

Lam Research Corp., Fremont, CA, has signed a definitive agreement to acquire OnTrak Systems Inc., San Jose, CA, in a stock swap deal worth $225 million. The transaction augments Lam`s solid position in etch and entries in CVD with OnTrak`s well-regarded CMP cleaning technology, as well as its new linear CMP polishing unit. The deal was approved unanimously by both firms` boards of directors. Terms call for each share (or option) of OnTrak stock to be exchanged for 0.83 shares (or options) of La


World News


Japan

ULVAC Japan Ltd. and Ramtron International Corp., Colorado Springs, CO, will develop thin-film process systems and materials for use in ferroelectric RAM (FRAM) manufacturing. FRAM technology, developed and owned by Ramtron, features high-speed reprogrammable nonvolatile memory that operates without power consumption. Although ULVAC has been independently developing thin-film (sputtering) deposition systems and materials for FRAM applications, this multiyear joint development program is expected


World News


Asia

Chartered Semiconductor Manufacturing and Hewlett-Packard will team up to build a 30,000 wafer/month facility in Singapore. The Singapore Economic Development Board (EDB) will provide financial investment and be a minority shareholder in the project, which is named Chartered Silicon Partners Pte. Ltd. Plans call for groundbreaking to take place in September at the Woodlands Industrial Park, adjacent to Chartered`s existing facilities. Opening is slated for mid-1999. Initial production will be at


World News


Europe

Genus Inc., Sunnyvale, CA, has signed a multimillion dollar contract with European foundry Newport Wafer-Fab Ltd. (NWL), Wales, UK, to develop Genus` MeV ion implant technology. A Genus Tandetron 1520 MeV ion implant system will be delivered to NWL, which will develop Genus` Buried Implanted Layer for Lateral Isolation (BILLI) MeV procedure at its new Fab 2 and Fab 3 in Newport, Wales. The technology will be used first in Fab 2, NWL`s 0.5-?m 150-mm wafer line, and later in Fab 3, NWL`s 0.5- and


World News


Rest of the world

MOSAID Technologies Inc., Ottawa, Ontario, Canada, will design the next generation of SDRAM on behalf of the SLDRAM Consortium. To develop the industry prototype, MOSAID is using an advanced 0.25-?m DRAM process developed jointly by Siemens, IBM, and Toshiba. Other consortium members will soon contribute engineering expertise to the project.


Product News


filter Cartridges

The Mega-Pure Advantage AF Membrane series filter cartridges are designed for the filtration of UHP liquids; applications include UHP water and chemicals, etchants, solvents, diffusion gases, and photoresists. With additional pore sizes available in 0.45 and 1 ?m, the cartridges are prewetted and ready to use in five particle removal ratings from 0.05-1 ?m, and four lengths to meet specific performance demands. Parker Hannifin Corp., Lebanon, IN; ph 800/272-7537.


Product News


Trace moisture analyzer

Teledyne Analytical Instruments has developed the Model 8960 moisture analyzer for the production of industrial and high purity gases and processes in wafer fabrication and printed circuit board manufacturing. It offers fast, precise analysis of costly problems such as microcircuit defects, poor yields, and intrusion of water content in


Product News


Thin-film measurement

Tencor Instruments has introduced a production-worthy thin-film measurementsystem, the Prometrix UV-1280SE, which combines spectroscopic ellipsometry and broadband spectrophotometry in a single platform. The new capability supports etch, photolithography, and CMP applications, as well as advanced characterization of new materials, such as SiON antireflective layers, silicon-rich oxides, silicon carbide and SiGe. The UV-1280SE directly measures film thickness, refractive index, and the extincti


Product News


Water surface analysis sytem

AST Products introduces VCA-3000 wafer surface analysis systems as the latest addition to the Video Contact Angle product line designed for characterizing 100- and 200-mm wafer surfaces. Entirely self-contained, the VAC 3000 incorporates computer imaging capability with contact angle measurement to analyze surface energy characteristics used to determine surface cleanliness and coating uniformity and quality. A liquid droplet is precisely positioned on the wafer surface by a motorized syringe sy


Product News


Integrated vacuum cluster tool platform

Equipe Technologies has announced the shipment of its new integrated vacuum cluster tool platform for high-volume production and testing of semiconductor wafers. The Equipe cluster tool platform centers around the VAC 400 Direct Drive Robot integrated with the VXR Magnetically Coupled Indexer/Load-locks, VPR Pre Aligner, and ESC 300 Transport Module Controller. The system`s modular design is available in standard 4- through 8-sided configurations and features an optional custom-designed vacuum p


Product News


Integrated CMP system

PRI Automation`s chemical mechanical polishing (CMP) autoload system is the first integrated system that automates material transport, handling, and scheduling among polishing and cleaning equipment within a CMP or planarization work cell. Integration reduces tool downtime and increases cell throughput by ensuring that material is continually rotated among polishers and cleaners, while eliminating the need for operators. Designed for Class 1 cleanroom environments, the system has performed at gr


Product News


SMIF enclosure

This company has created a Class 1 SMIF enclosure for its fully automated CV/IV system for high volume, front-end electrical testing of semiconductor wafers. The optional SMIF enclosure requires a minimum amount of space and is fully integrated with the SSM 5200 system. Compatible with new-generation fabs, it offers better environmental control for wafer testing and is ideal for ion implant, gate oxide diffusion, and dielectric monitoring. Solid State Measurements Inc., Pittsburgh, PA; ph 412/78


Product News


Critical dimension atomic force microscope

Veeco Instruments offers the Dektak SXM Critical Dimension Atomic Force Microscope (CD-AFM), an in-line metrology tool that provides noncontact, nondestructive measurements, enabling expensive production wafers to be tested without damage. Operable in both cleanroom and ambient conditions, the CD-AFM provides in-line metrology for linewidths, heights and sidewall profiles of trenches as small as 0.25 micron and below. Proprietary scanning and probe tip technologies enable the CD-AFM to profile v


Product News


Membrane filter cartridge

A new membrane filter cartridge designed for use in hot DI water is now available from Memtec Electronics. The Varatherm series combines the performance capabilities of the company`s patented highly asymmetric polysulfone membrane, with all polysulfane (an inert engineered material known for its stability in high temperature water) cage, core, and endcaps. The combination withstands continuous DI water temperatures up to 212?F (100?C), while delivering improved flow rates greater than the more c


Product News


Temperature forcing system

This company has added the T-2500E to its precision temperature forcing system (PTFS) product line used for tri-temperature testing of semiconductor components, hybrids, modules, and printed circuit boards. Typical applications include device validation, product engineering, quality assurance, and production testing. Redesigned with cost, performance, and easy matinenance in mind, the new system provides an economical alternative to the company`s T-2500 PTFS system, while retaining its significa


Product News


Fairchild Technologies

Fairchild Technologies, a wholly owned subsidiary of the Fairchild Corp., has expanded its US and European manufacturing facilities, which will increase disc equipment manufacturing capabilities and provide increased contamination control during the manufacture of the company`s Falcon wafer processing system. Construction projects include a new 4200-ft2 disc equipment manufacturing facility at Fairchild`s Fremont, CA, headquarters, and a 3600-ft2 cleanroom expansion at its Vaihingen, Germany, fa


Product News


American Cleanroom Garments

American Cleanroom Garments installed a completely automated cleanroom facility monitoring system at its Portland, OR, plant. The system continuously monitors cleanroom conditions, including particle counts, temperature, relative humidity, differential pressure, resistivity, pH, conductivity, air velocity, TOC, DI water, in situ, and more.


Product News


Wet chemistry processing system

Interlab announces wet chemistry processing systems for cleaning and etching recovered silicon. Customized systems are capable of cleaning any variety of silicon substrates from poly-silicon chunks to


Product News


Amine filter

Extraction System, Inc. has introduced the Vaporsorb Profile 1250 and Profile 2500, the company`s high capacity, zero footprint amine filtration equipment for DUV lithography. Combining the long-service-life and spill-protection benefits of floor-mounted filters with the space-conserving aspects of rooftop models, Profile filter systems are designed to be suspended with the supply air ductwork for the stepper, scanner, or track, eliminating filter footprint. The series also features the company`


Product News


Filtration modules

U.S. Filter Corp. has announced that its Membralox ceramic membrane filtration modules are now available with PVDF (polyvinylidine fluoride) plastic housings. All wetted surfaces are made of either plastic or ceramic materials, ensuring that the fluid to be filtered does not come in contact with metals that could leach into the water. Used in semiconductor manufacturing, the new ceramic membrane filters offer several advantages over polymeric ultrafilters: higher particle retention; operation at


Product News


Laser ADC module

Tencor Instruments has introduced the Tencor Laser AC module, a new option for its line of CRS laser confocal review stations. Currently a provider of white light ADC technology, the company offers the first laser confocal ADC technology successfully addressing high level, back-end processes such as after-metal etch and post-chemical-mechanical planarization (CMP). The new Laser ADC software fully automates the process of defect redetection and classification on second-level metal and beyond, el


Product News


Corrosive liquid particle counter

Particle Measuring Systems offers a liquid corrosive sampler, the Model CLS-700, for batch sampling of process chemcials at temperatures of up to 150?C. The system extracts fluid from a bath, drum, or bottle, forces it through a sensor, and collects particulate information. Sampling is performed by drawing the liquid into the buret by a vacuum generated within the sampler. Once the liquid is drawn into the buret, the fluid is compressed to remove any bubbles. All wetted surfaces are Teflon with


Product News


SEM monitor workstation

Spectel announces the availability of the SEM Monitor, a diagnostic tool known as "Sharpness Analysis," integrated into a turnkey workstation to meet the semiconductor industry`s need for analysis of production metrology scanning electron microscopes (SEMs). The tool`s analysis capability was developed for use as an on-line instrument diagnostic and for tool-matching in a production environment. It provides a quantitative framework based on fourier transform feedback for monitoring SEM resolutio


Product News


SEM monitor sharpness analysis system

SEM Monitor Sharpness Analysis is a diagnostic tool for evaluation of SEM-based metrology systems. The analysis capability was developed for use in on-line instrument diagnostics and tool matching in a production environment. It provides a quantitative framework based on Fourier transform feedback for monitoring SEM resolution, astigmatism, and image quality over time and as compared to other instruments. Information from the software analysis can be used to adjust a SEM for optimum performance.


Product News


Docking emission microscope

Functional failures can now be found in devices with speeds of 150-300 MHz, from the backside of the device. DEMI is a docking emission microscope that uses test vectors from ATE equipment to light up transient functional defects. It docks the ATE test head directly to the emission microscope and has no upper device speed limit. Imaging is actually triggered when the test vectors activate the failure. Hypervision Inc., Fremont, CA; ph 510/651-7768, fax 510/651-1415, e-mail 73141.3634@compuserve.


Product News


Defect review and inspection SEM

The XL810 defect review and inspection SEM is a 200-mm tool that resolves down to 3 nm at accelerating voltages from 1-30 kV, while providing enhanced "down hole" visibility. This is combined with a highly accurate five-axis stage and an advanced GUI, providing the data needed for process optimization, and defect review and classification. The Hexalens electron beam column, for high performance at accelerating voltages of 200 V to 30 kV, allows the user to move seamlessly from the field-free mo


Product News


FIB system

The 9500IL focused ion beam system can be used in the fab to produce cross-sectional images and material analysis of defects and critical process steps. Due to its stainless steel enclosure and automatic wafer handler, the 9500IL provides timely data to process engineers without removal of the wafer from the fab line. Micrion Corp., Peabody, MA; ph 508/531-6464, fax 508/531-9648.


Product News


Mass flow controllers

These new gas flow controllers, with the convenience of local setpoint adjustment, have flow rates from 0-50 liters/min. They provide a 0-50 VDC and a 4-20 mA signal for datalogging, and very low temperature and pressure coefficients make them suitable for use with changing stream temperatures and pressures. Units come fully calibrated for specific gases and include a calibration certificate to NIST-traceable standards. Cole-Palmer Instrument Co., Vernon Hills, IL; ph 800/323-4340.


Product News


Gas distribution equipment isolation device

Two opposing shut-off valves are close-coupled at the seat seals to form a flow-through device. Components or sticks are isolated between a pair of the devices. The near-zero dead space between valve seat seals allows off-line purging. When integrated with other components, the valves yield a smaller footprint. Aeroquip Corp., Ann Arbor, MI; ph 313/741-5300, fax 313/741-5333.


Product News


Pendulum valve

The Series 65 pendulum valve, for downstream pressure control and isolation, features fast, almost particle-free control operation and is well suited to harsh environments such as corrosive etch and CVD applications. The valves offer easy access for cleaning. Bolt-on flange options for direct chamber attachment allow a simple integration on process tools. An integrated heater, for uniform temperature control up to 150?C of valve body and pendulum plate, assures extended operating intervals betwe


Product News


PFC recovery and recycle system

PFCs such as C2F6 and CF4 are used extensively in CVD and etch processes, and concerns about global warming necessitate reduction of such gases. This system first treats tool exhaust to remove reactive and corrosive gases; then, gas separation technology separates the large amount of nitrogen in the tool exhaust from the PFCs. The concentrated PFC mixture can then be shipped for recycling. For large fabs, an on-site, dedicated PFC purification system can be provided. Air Products and Chemicals I


Product News


Aluminum planar target

SOLO is a low-profile aluminum target, designed for one-piece monolithic semiconductor applications, which features a homogeneous and consistent fine grain size, as well as optimized crystal orienta-


Product News


CMP slurry filtration

The CMP Kleen-Change 100 filter assembly series, for the filtration of metal and oxide CMP slurries, is a range of completely encapsulated, disposable polypropylene filter units designed to eliminate filter housing cleaning, reduce potential contamination, and minimize contact with the process slurry. The assemblies can be installed easily at mixing stations, in distribution loops, and at polishing tools. They contain a polypropylene filter medium available in a range of 5-120 ?m absolute rating


Product News


Convergent laser sensor

PicoDot is a Class II convergent-beam laser sensor that features a precise convergent point size of 0.25 mm at its focal distance, making it well suited to wafer mapping applications. The unit provides an overall sensing distance of 50-115 mm with a focal distance of 100 mm; and its small size (40 ? 45 ? 12.7 mm) and light weight suit it for use on robotic end effectors. PicoDot`s sensing response time is 0.2 milliseconds, allowing its use in high-speed applications such as lead or pin counting.


Product News


300-mm wafer platform

The Microglide T350 air bearing motion platform, designed to accommodate 300-mm wafers, is made from materials such as ceramic, granite, and aluminum, providing high precision and stiffness. Specifications include: 450 ? 350-mm travel; 0.04-0.01-?m resolution (feedback dependent); 0-500-mm/s velocity range; 2.5-5 m/s2 acceleration range; ? 0.5-0.75-?m/40 mm accuracy; 3s bi-directional repeatability of ?0.5-?0.1 ?m; ?0.5 ?m/100 mm flatness of travel; ?0.5 ?m/100 mm straightness of travel; ? 1 arc


Product News


XY stage for 300 mm

This high-performance, high-accuracy xy stage is designed for 300 mm applications such as inspection, lithography, and direct writing. Pre-loaded air bearings and precision granite reference surfaces provide straightness and flatness of travel below ?0.25 ?m, while brushless linear servo motor drives allow high acceleration and velocities up to 800 mm/sec. In the y-axis, dual motors/encoders are used to allow balanced drive and active yaw control, while the x-axis uses a centrally located single


Product News


Process-compatible temperature control

The TCU 40/80 is designed for the cooling of wafers during processes such as etch, providing continuous operation across wide temperature extremes (-40 to +80?C). Features include temperatures set at increments of 0.1?C, adjustable coolant flow of 1-6 gpm, fuzzy logic temperature control, remote temperature sensing capability, and a stainless steel pressurized coolant system. Alarms include 3-phase rotation and low-voltage indication, utilities water, circuit breakers, reservoir coolant level an


Product News


Multistep asher

These new gas flow controllers, with the convenience of local setpoint adjustment, have flow rates from 0-50 liters/min. They provide a 0-50 VDC and a 4-20 mA signal for datalogging, and very low temperature and pressure coefficients make them suitable for use with changing stream temperatures and pressures. Units come fully calibrated for specific gases and include a calibration certificate to NIST-traceable standards. Cole-Palmer Instrument Co., Vernon Hills, IL; ph 800/323-4340.


Product News


Thin-film metallization

MB2-730 CVD process technology yields good film properties for thin-film metallization applications. Features include: ClF3 cleaning technology that eliminates process hardware plasma damage; up to three independent vacuum-isolated process modules for integrated, serial, or parallel deposition; dual wafer loadlock stations that reduce potential throughput bottlenecks; minimized cleanroom overhead through remote location of ancillary equipment; high deposition uniformity processes, due to tempera


Product News


Critical etch systems

The 6500 series high-performance critical etch systems use low-pressure HRe technology for applications such as selective nitride and shallow trench (isolation etch technology), polysilicon, and silicide (6510); metal etching with rinse-strip-rinse corrosion control (6520); and Pt, PZT, and a variety of emerging films (6540). Tegal Corp., Petaluma, CA; ph 707/763-5600, fax 707/765-9311.


Product News


Polishing and planarization tool

MECAPOL E550 is a CMP tool that is equipped with an in-line scrubber and endpoint system. It offers high throughput and good MTBF and MTTR. PRESI SA, Brie et Angonnes, France; ph 33/47672-0021, fax 33/47672-0584.


Product News


Plasma etch processing system

The Beta 150 plasma etch processor is a single-wafer, cassette-to-cassette system, whose main chamber can be configured for plasma or RIE etch processing, and can accommodate wafer sizes from 100 to 200 mm. The Dual Loadlock system offers the user additional processing capabilities and good contamination control. Beta Squared Inc., Allen, TX; ph 972/889-6408, fax 972/889-6411.


Product News


Die taping machine

The BMP-230 TMBU-XY die machine is a mid-volume SMD handling and tape and reel tool. It can tape and reel die from wafers at speeds up to 5000 pph. A barcode reader confirms wafer identification, and mapping options verify the picking location of each die. A camera for ink dot recognition confirms that only known good die are placed into tape. Wafers are aligned before die are picked, and placed into tape with a pick accuracy of ?0.025 mm. Wafers from 2-8 in., die sizes from 0.5 ? 0.5 mm to 15 ?


Product News


Flip chip/chip scale package aligner bonder

Model CB-5 is a granite-based semiautomatic machine designed to perform high-accuracy and high-force FC and CSP placement and/or bonding in support of prototype and pre-production operations. The system is designed to handle chips of up to 1 in. and can place die from a variety of carriers onto substrates of up to 16 ? 16 in. An optical probe makes possible precise alignment and bonding within ? 5 ?m. Bonding, temperature profile, and timing parameters used for attachment are fully programmable.


Product News


Manual flip chip placer

Model 850 flip chip placement system, for low-volume production applications requiring placement accuracies of ?12 ?m, uses a cube beam splitter equipped with two independent intensity controlled fiber optic illuminators for presenting the flip chip and substrate simultaneously, for ease of alignment. The x-y precision slide table provides 12 ? 6 in. of travel in micro-adjustable increments. The large top surface on the x-y slide table features mounting hole patterns to accommodate various types


Product News


Blending system for post-CMP clean

MEGAblend 1100PV, for dilute NH4OH and HF, is a precision blending system that complements the new generation of post-CMP clean scrubbers. Blending two chemicals and DI water, the 1100PV combines the performance of pumps with the purity of pressure vessels, complementing this company`s slurry distribution technology to provide a complete CMP package. MEGA Systems & Chemicals, Chandler, AZ; ph 602/437-9105, fax 602/437-2613.


Product News


Wafer-marking system

The WaferMark SigmaXC features 300-mm wafer-handling capability with a mini-environment. The system is integrated with a handler that accepts 300-mm wafer pods. Featuring a highly stable diode pumped Nd:YLF laser, the system includes SuperSoftMark for debris-free laser scribing. WaferMark SigmaXC is fully self-contained, and no external cooling source is required. Lumonics Inc., Oxnard, CA; ph 805/981-9434 ext 4397, fax 805/485-3335.


Product News


Maglev hybrid turbomolecular pumps

The ATH 400M and ATH 1000M maglev hybrid turbopumps are maintenance-free and battery-free, and are designed for etch processes, ion implantation, sputtering, and plasma deposition. The pumps feature: five active axes, the most reliable magnetic bearing technology; an automatic balancing system for rotor imbalance compensation; an integrated heating device to eliminate the condensation of by-products; an inverted dynamic seal to protect the magnetic bearing chamber; and inert gas purge. Specifica


Product News


Maskmaking tools

ZBA series maskmaking tools feature shaped beam, vector scan, continuous moving stage technologies for high resolution and placement accuracy without compromising throughput. With the ability to pattern advanced OPC and PSM structures, the systems use SMIF-based autoloading, avoiding all operator contact with the critical masks. With 9-in. substrate capacity, the ZBA series will have application for several design generations to come. Leica Inc., Deerfield, IL; ph 800/909-3935, fax 201/236-5917.


Product News


Laser exposure system

The XL-2 laser exposure system is designed for 193-nm deep-UV photoresist research. It delivers programmed doses of 193-nm UV energy to experimental wafers, which are used in the development of next-generation photoresists. System features include: an optical attenuator, to adjust beam fluence; a laser beam profiler, to maximize beam uniformity; an in-line dose detector, to monitor energy; UV lenses, to homogenize and shape the laser beam; a large exposure field (20 ? 20 mm); a helium neon laser


Product News


Real-time production status board

Encore! Performance is Windows NT-based, delivering critical information to the desktops of semiconductor manufacturing managers. It allows easy access to, and visualization of, production information from multiple data sources. Managers can obtain "scorecards," reporting displays of data in a variety of graphical and highlighted formats. Preconfigured production and quality analyzers, capable of database computations and comparisons, enable users to obtain immediate information to improve produ


News


FASTech Integration Inc./Auto-Soft Corp.

FASTech Integration Inc., Lincoln, MA, and Auto-Soft Corp. have initiated a partnership to offer the CLASS MCS product, Auto-Soft`s cleanroom automation material control system (MCS), with FACTORYworks, FASTech`s integrated manufacturing execution system (MES) software. This interface connects an automated material control system with an MES. The FACTORYworks/CLASS MCS solution is expected to increase equipment utilization and operator efficiency by providing a common graphical user interface fo


News


Kinetico Inc.,/Continental Circuits Corp.

FASTech Integration Inc., Lincoln, MA, and Auto-Soft Corp. have initiated a partnership to offer the CLASS MCS product, Auto-Soft`s cleanroom automation material control system (MCS), with FACTORYworks, FASTech`s integrated manufacturing execution system (MES) software. This interface connects an automated material control system with an MES. The FACTORYworks/CLASS MCS solution is expected to increase equipment utilization and operator efficiency by providing a common graphical user interface fo


News


Fluroware

The American Association for Laboratory Accreditation (A2LA), has accredited the Product Development Laboratory of Fluoroware, Chaska, MN. The accreditation includes tests of control valve integrity, cyclic fatigue, functional capability, hydraulic burst pressure, seat integrity, seal leakage, sensing range, tensile testing, and thermal transition. The accreditation applies to these tests when used to test Fluoroware`s fluid handling devices, device handling systems, and containers.


News


Ashland Chemical Co./Fospur Ltd.

Ashland Chemical Co., Dublin, OH, a division of Ashland Inc., has acquired Fospur Ltd., a water treatment company based in the UK, from Yorkshire Environmental Ltd. Fospur`s boiler water treatment, cooling water treatment, and wastewater treatment business will become part of Ashland Chemical`s Drew Industrial Division.


News


FSI International

FSI International`s microlithography division has moved into a new 150,000-ft2 facility in Allen, TX, a suburb of Dallas. The $21 million facility includes a Class 1 applications laboratory for process development, customer demonstrations, and equipment evaluation; two Class 1000 cleanrooms house checkout and a Systems Integration Lab.


News


CONCOA

CONCOA (Controls Corp. of America), Virginia Beach, VA, a maker of gas flow control equipment, has opened a new state-of-the-art cleanroom for assembling high-purity analytical equipment at its Virginia Beach facility. The cleanroom, which contains a fully functional Class 10 environment, is part of CONCOA`s expansion of its rare and special gas flow controls


News


Exsil Inc.

Exsil Inc., San Jose, CA, is constructing a plant in Prescott, AZ, to provide a reclaim product equivalent to prime test specifications using available technology in film removal, polishing, final cleaning, and technology. The facility is expected to be up and running in 4Q.


News


Air Products and Chemicals Inc.

Air Products and Chemicals Inc., Lehigh Valley, PA, plans to roughly double the tungsten hexafluoride (WF6) capacity of its Hometown, PA, electronic specialty gas manufacturing facility to about 140,000 pounds/year. Air Products maintains the purity of its WF6 by manufacturing, purifying, and packaging the gas in nonreactive nickel vessels and cylinders, preventing metallic impurities from leaching into the product. In January, Air Products opened a new state-of-the-art trace metals laboratory t


News


ASM Lithography

ASM Lithography (ASML), Veldhoven, The Netherlands, a maker of microlithography systems, has completed expansion of its global headquarters, which now has the capacity to manufacture more than 350 systems/year. ASML`s new building houses 52,000 ft2 of Class 10,000 manufacturing space; a 70,000-ft2 global logistics center, and 37,500 ft2 of office space.


News


MEGA Systems & Chemicals Inc/Harris Semiconductor

MEGA Systems & Chemicals Inc., Chandler, AZ, a maker of chemical blending and distribution systems, won the Valued Strategic Partner Award from Harris Semiconductor. MEGA supplied to Harris` 200-mm facilities in Mountaintop, PA, chemical distribution/dispense systems, which provide distribution for optimum particle removal during the wafer manufacturing process. Among the installed systems were the MEGApure 100,100SS, and 500SF systems that provide single-pass filtration. The MEGApure 500SF feat


News


Nilfisk of America/http://www.nilfiskamerica.com.

Nilfisk of America, Malvern, PA, a manufacturer of vacuum cleaners for industrial, hazardous, and fine powder applications, has established a web site at http://www.nilfiskamerica.com. The site offers an overview of the company with pictures of Nilfisk`s industrial vacuum cleaners, specialty vacuums, and vacuum-assisted power tool systems. The site also offers online a six-page product brochure, specific product information, and a case study section featuring manufacturers who solved their dust


Letters


The importance of chemistry to students

I teach electronics and math at DeVRY Institute of Technology in Irving, TX. Our Electronic Technician associate degree graduates are often hired by semiconductor manufacturing companies. The need for this type of work force will increase when Intel soon opens a plant in north Fort Worth, TX.


Letters


First issue praise

Thank you for the quick delivery of Solid State Technology. My first issue looks very nice. The color on the pages is so clear that I can see the tools in detail. The World News section keeps me abreast of the growth of semiconductor businesses and technology. The whole magazine covers many diverse topics that I can study.


Letters


Nanoporous coverage

I wanted to thank Solid State Technology for the coverage on nanoporous silicon ("Nanoporous silica may hold solution for better dielectrics," February, p. 38). I was amazed by the number of e-mails and phone calls that resulted from your article.


Letters


More on scholarships

Since your editorial in June 1996 ("Scholarships aren`t enough," p. 14) about the lack of interest in science displayed by middle and high school students, I have become more involved in and informed about the issues that you raised. An excellent discussion of this topic was presented in the October 1992 issue of Scientific American ("Teaching real science," pp. 99-108), and is thoroughly discussed in the book In Search of Understanding: The Case for Constructivist Classrooms, by J.G. Brooks and


Letters


No optical transistors

The rate of change over my 40 years in the industry has been beyond imagination, but for one long disappointment: the insufficient duplication of the vacuum tube photomultiplier into a solid-state optical amplifier. We failed to invent the totally optical transistor. Soon, thermal density will limit our silicon compaction. We need a breakthrough in N-doping manmade CVD diamond so that diamond transistors may operate at those higher temperatures while retaining cheap air-cooling solutions.


Letters


Clarification


Services


CD-ROM training courses

Two training courses titled "Semiconductor Processing Overview" and "Mathematics for Semiconductor Technicians" are available on CD-ROM. The first is designed to acquaint entry-level employees with basic terms and concepts used in electronics and integrated circuit fabrication through graphics and animation, simulations of processes and outcomes, and an animated glossary. The second combines audio, video, and text to develop math skills needed in a wafer fab, including basic math concepts; data


Services


Fab safety training videos

A series of fab safety training videos is available. The latest, titled Fab Lockout Tagout, covers a number of topics, including seven different hazardous energy sources found in semiconductor plants; multiple energy source systems; troubleshooting and subsystem energy isolation; and the seven steps of a correct semiconductor lockout tagout procedure. Other titles in the series include Fab Safety Orientation; Hazardous Materials Safety Training in the Fab; Chemical Hazard Classes in the Fab; Com


Services


Microlithography handbook

This 776-page volume titled Handbook of Microlithography, Micromachining, and Microfabrication: Volume 1, Microlithography covers all aspects of microlithography as applied to microelectronics. Topics include optical, electron beam, and x-ray lithography; deep-UV resist technology; photomask fabrication procedures and limitations; metrology methods in photolithography; optical lithography modeling; and issues in nanolithography for quantum effect device manufacture. Written by experts in the fie


Services


Taiwanese electronic book

This 129-page volume titled The Taiwan Electronics Industry,written by Chung-Shing Lee and Michael Pecht, includes information on Taiwanese geography, demographics, government, and educational policy; economic, science and technology, and electronics industry development; and the current status and future directions of the industry. The contents are based on the authors` first-hand assessment of more than 100 electronics companies in Taiwan; academic studies of Taiwan`s electronics, computers, a


Services


16 Mbyte SDRAM samples

Samples of 16-Mbyte synchronous DRAM (SDRAM) are available in a 2-Mbit ? 8 version (MT48LC2M8A1TG) with 3.3-V supply in 44-pin TSOP II packages. Designed to run on a volume production process at 0.35 ?m, the device operates at 83 MHz and 100 MHz, with access times at 12 ns and 10 ns, respectively. A 4-Mbit ? 4 organization option is on the same die and samples will be available in the near future. Micron Technology Inc., Boise, ID; ph 208/368-3900, fax 208/368-4435.


Services


Semiconductor business information service

A semiconductor business information service incorporating the volume Profile of the Worldwide Semiconductor Industry: Market Prospects to 2000 and the Integrated Circuits International Newsletter, a monthly publication, is available. Profile of the Worldwide Semiconductor Industry provides market data and forecasts to the year 2000 supplied by device type; analysis of industry structure and condition; commentary on the emerging market and technology trends; activities and performance of the maj


Services


IC processing guide

This 623-page, illustrated text titled Microchip Fabrication: A Practical Guide to Semiconductor Processing provides a nontechnical explanation of the IC fabrication process for persons new to the semiconductor industry. The third edition of the guide contains information on new processes, as well as the basics of established processes, and includes topics like the background of the semiconductor industry; process materials and chemicals; process yields; oxidation; photolithography; doping; depo


Services


Amphorouse silicon technology book

This volume, the latest in a series on amorphous silicon science and devices, reflects the increasing range of applications for a-Si technology. Highlighted are recent advances in solar cells incorporating microcrystalline silicon absorber layers, a new manufacturing facility for amorphous-silicon-based tandem solar cells, higher resolution and improved visibility in active-matrix liquid crystal displays, and improvements in the deposition rate of amorphous silicon transistors. Other topics incl


Services


1997 IC industry status report

This volume, the 32nd in a series of annual reviews of the IC industry, reports on trends, key suppliers, and technology. Topics include a worldwide economic update and forecast (1997-2001); merchant and captive IC vendors; ASIC industry trends; packaging trends; IC products overview; MOS, MPU, MCU, and DSP market trends; and MOS memory market trends. Price: Book or CD-ROM $795 (US), $855 (non-US). Integrated Circuit Engineering (ICE), Scottsdale, AZ; ph 602/368-8260, fax 602/948-1925, e-mail ic


Services


Japanese FPD journal translation service

This service translates the Japanese publications LCD Intelligence Magazine and Display & Imaging Journal into English. These sources provide current information on developments in Japanese and Asian FPD technology. Price: For each magazine, $650/year; articles, reports, or sections, $25 each. InterLingua, Redondo Beach, CA; ph 310/792-3638, fax 310/792-3642, URL http://www.japanese-translation.com.


Services


Wet process system training facility

A new facility in Austin, TX, trains users of the AWP Mark III automated wet process system. Training programs include classroom instruction on operation, maintenance, and safety, as well as hands-on experience with a production-capable tool that contains a cassette-to-cassette transfer station, wet process modules, and a Marangoni dryer. The facility also includes design, manufacturing, testing, and laboratory services for the Marangoni dryer. Steag MicroTech Inc., Austin, TX; ph 512/438-1300,


Services


Microelectronic packaging short courses

Several week-long short courses designed to upgrade the semiconductor packaging skills of US university faculty are scheduled for July 1997 and August 1998. The courses include hands-on laboratory exercises, field trips to Silicon Valley industries, and lecture materials, and will be conducted by the Materials Engineering Department of San Jos? State University, under a grant from The National Science Foundation. Price: Free to university faculty. San Jos? State University, San Jos?, CA; contact


Services


ion implanter refurbishment program

This factory refurbishment program rebuilds and upgrades medium- and high-current ion implanters for resale to fabs. Implanters are upgraded with model-specific, current technologies in ion sources, dosimetry, and wafer handling and are warranteed. Included in the program are the 300XP (75-150 mm) medium-current implanter introduced in 1986, and the models 80XP, 120XP, 160XP, and 180XP (1-150 mm) high-current ion implanters. Refurbished 300XP implanters have solid-state scan amplifiers with air-


Services


Thermal test chip guideline

This EIA/JEDEC publication titled "Thermal Test Chip Guideline (Wire Bond Type Chip)" (EIA/JEP129) describes design requirements for wire-bond-type semiconductor chips used for thermal resistance listing of IC packages. The document provides specific guidelines for chip design, but allows flexibility in materials and layout. Also detailed are sensor design and placement, heat-source-coverage area specifications, wire-bonding considerations, and surface properties for the chip. Price: $31. Global


Eurofocus


TI make Logic at first 300-mm fab

On the eve of SEMICON/Europa, several hundred semiconductor executives gathered in Grenoble, France, for a conference on 300-mm strategies sponsored by SEMI and the Grenoble Technologies et Competitive group. The event featured few bombshells but did give a sense that 300-mm development is on course for pilot line introduction in 1999.


Eurofocus


Wet resist, Polymer removal research set under semitool Imec Development deal

Under a joint research project, process equipment supplier Semitool, Kalispell, MT, and a European microelectronics research center will develop next generation wet resist and polymer removal techniques for use in front-end and back-end processing.


People


People Update

Wolfgang Dondorf has been promoted to president and CEO of Pfeiffer Vacuum Technology Inc., Nashua, NH. He will continue to serve as managing director of Pfeiffer Vacuum Technology AG in Germany. Before joining Pfeiffer, he held management and executive positions with King Plastic GmbH, and Sprague Electric Inc.


Literature


Literature

This brochure describes a line of training products designed to meet the needs of IC manufacturers and their suppliers. Seminars, videos, and printed materials cover topics like IC manufacturing, cleanroom technology, maskmaking, and chip packaging. Also included is information on the 1997 release of the industry resource books Microchip Fabrication and Semiconductor Terminology. Semiconductor Services, Redwood City, CA; ph 415/369-7890, fax 415/367-1062, e-mail [email protected].


Editorial


The Rocky road to 300 mm

Stretching ahead of us is a road plotted out for 300-mm wafer development. We are not sure exactly which route it will take, how wide it will be, or where it will eventually end. It will be "under construction" for quite a while with detour and "left lane closed ahead" signs and maybe a few "travel at your own risk" indicators. And although it will be a new road, potholes will likely develop quickly. Some may not take the new road immediately, but use side streets to avoid the risks of a highwa