Lithography

LITHOGRAPHY ARTICLES



ASMI shareholder lays out demands for change

05/05/2006  May 5, 2006 - Investment firm Mellon HBV Alternative Strategies, a 6% owner of ASM International NV, said it intends to attend the company's shareholders meeting on May 18 with its own agenda -- to come up with an endgame strategy for the company's frontend business, seen as not synergistic with its other areas of business.

SEMATECH names IBM's Lercel as litho head

05/04/2006  May 4, 2006 - SEMATECH has appointed Michael Lercel as director of its lithography division, replacing former director Kevin Kemp who is returning to Freescale Semiconductor.

CHIPS: Defects dodged at the nanoscale level

05/01/2006  Defects in fabrication and errors during operation will become a fact of life for electronic circuits at the nanoscale.

M&A deals shuffle 2005 subsystems supplier ranks

04/21/2006  April 21, 2006 - A late-year ramp in demand was not enough to prevent lower 2005 sales for suppliers of critical subsystems for the semiconductor, flat-panel display, and data storage manufacturing industries, according to data from VLSI Research Inc.

Cymer profits, sales soar

04/20/2006  April 20, 2006 - Cymer Inc., San Diego, CA, posted a profit of $20.6 million in 1Q06, a whopping 283% increase over a year ago, on 50% higher sales of $127.1 million.

Nantero touts success with 22nm memory switch

04/12/2006  April 12, 2006 - Nantero Inc. says it has successfully demonstrated scalability of its nonvolatile random access memory (NRAM) technology, with fabrication and testing of a 22nm NRAM memory switch.

E-beam litho firm adds NA presence

04/07/2006  April 7, 2006 - Elionix Co. Ltd., a Japanese manufacturer of electron-beam lithography equipment, has signed a deal with SEMTech Solutions to sell its sub-10nm 3-beam systems in the North American market.

Design for Yield - A Hot Term for an Old Concept

04/01/2006  Achieving design for yield (DFY) has more to do with effectively managing the IC design process than it does with introducing a new generation of software tools.

Photronics shutting "unproductive" TX mask shop

03/31/2006  March 31, 2006 - In an effort to streamline its "unproductive" photomask manufacturing infrastructure in North America, Photronics Inc., Brookfield, CT, said it will close its manufacturing facility in Austin, TX, taking a $15-$18 million charge in fiscal 2006 and laying off 6% of its workforce.

Alliance trio lines up DFM support for 65nm process

03/30/2006  March 30, 2006 - Chartered Semiconductor Manufacturing Ltd., IBM Corp., and Samsung Electronics Co. Ltd. have announced availability of design-for-manufacturing (DFM) technology, models, design kits, and data files for their common 65nm process technology platform.

ASML prints 42nm lines with immersion

02/23/2006  February 23, 2006 - ASML Holding NV says it has produced images down to 42nm, at 84nm pitch and a 1-micron depth-of-focus in a 26 x 33 sq. mm field, on its Twinscan XT:1700i immersion lithography system (NA=1.2), noting a 30% improvement in resolution in dry tools.

February 2006 Exclusive Feature: LITHOGRAPHY

Imaging of lines and contact holes using ArF immersion at 0.85NA



02/22/2006  By Vincent Wiaux, Eric Hendrickx, Geert Vandenberghe, IMEC, Leuven, Belgium

193nm immersion lithography has become the industry's prime choice for printing critical layers in 45nm node processes. In a research facility, through-pitch imaging solutions for 65nm lines and 80nm contact holes were explored using a preproduction 193nm immersion scanner with a numerical aperture (NA) of 0.85.

TSMC: Immersion yields, defect rates are ramp-ready

02/22/2006  February 22, 2006 - Top foundry Taiwan Semiconductor Manufacturing Co. (TSMC) says it's achieved near-zero defect rates with test wafers using immersion lithography, comparable to dry lithography results and well within acceptable parameters for volume manufacturing.

KLA-Tencor tool analyzes CD metrology data

02/22/2006  February 22, 2006 - KLA-Tencor has added a new feature spanning its lineup of overlay, CD SEM, and optical CD metrology tools to provide automated real-time analysis of overlay and critical dimension (CD) metrology data during 65nm and below IC manufacturing processes.

KLA-Tencor spotlights Cymer source for litho tool

02/22/2006  February 22, 2006 - Extending joint work on simulating laser bandwidth on critical dimensions (CD), KLA-Tencor Inc. will add Cymer Inc.'s light source spectra into its Prolith lithography optimization tool, to enable users to model the effects of changes in light source spectral characteristics on their advanced lithography processes.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts