Lithography

LITHOGRAPHY ARTICLES



Rensselaer touts new polymer for conventional, nanoimprint litho

02/03/2008  Feb. 2, 2008 - Scientists at Rensselaer Polytechnic Institute say a new cheaper, quick-drying polymer could enable better performance and lower costs in both conventional photolithography processes and on-chip nanoimprint lithography. Also seemingly heavily involved in developing the technology: Applied Materials.

IMEC's Ronse: Albany-IMEC joint EUV work not giving up on 32nm-hp, yet

01/23/2008  Jan. 23, 2008 - In an exclusive interview with WaferNEWS, Kurt Ronse, lithography program director at IMEC, discusses the newly announced partnership with the U. of Albany's College of Nanoscale Science and Engineering to accelerate development of extreme ultraviolet (EUV) lithography technology -- what finally pulled the two sides together, what they hope to gain short- and long-term, and plans for readiness by the 32nm and 22nm half-pitch nodes.

MEMS Software Tools

01/22/2008  The schematic-based MEMS design environment ARCHITECT features a 3D visualization tool called Scene3D, which allows users to create 3D views of an ARCHITECT schematic and visualize simulation results with fully contoured 3D animations.

Fujifilm materials biz buys ArF immersion tool to monitor photoresists

01/21/2008  Jan. 21, 2008 - Fujifilm says its electronics materials subsidiary has purchased an advanced argon fluoride (ArF) immersion scanner to help accelerate the push to bring its new family of ArF immersion photoresists to high-volume manufacturing.

IMEC, U.Albany combining EUV efforts

01/21/2008  Jan. 21, 2008 - The two leading R&D centers for EUV lithography, IMEC in Europe and the U. of Albany's College of Nanoscale Science and Engineering (CSNE), say they will jointly perform experiments for EUV in order to "demonstrate the practical feasibility of EUVL and build confidence in the technology for the 32nm half-pitch device node and below."

ZMD sells SRAM biz to Alliance

01/18/2008  Jan. 17, 2008 - ZMD AG has sold its complete SRAM product line to San Carlos, CA-based Alliance Memory, including manufacturing rights, mask sets, IP and all remaining inventory.

Freescale Selects 200mm SUSS Tool Set for MEMS Facility

01/16/2008  ; SUSS MicroTec has shipped and successfully installed several microelectromechanical systems (MEMS) production tools at Freescale Semiconductor. The equipment included a new DSM200 Series front-to-back alignment verification system, the latest generation SUSS MA200 compact mask aligner as well as a SUSS ABC200 series wafer bond cluster system for use in MEMS sensor applications.

Freescale Establishes Advanced 200-mm MEMS Production Line

01/14/2008  ; Freescale Semiconductor has established an advanced microelectromechanical systems (MEMS) 200-mm (8-in.) production line to address growing sensors market demand. The newly added line at Freescale's Oak Hill Fab in Austin, TX, complements the company's existing 150-mm (6-in.) MEMS capacity in Sendai, Japan.

SUSS MicroTec Lithography QM Certified to ISO9001:2000

01/14/2008  ; SUSS MicroTec Lithography GmbH now holds the globally recognized ISO 9001 certification for having established a high level process- and system-oriented quality management (QM) based on ISO9001 quality standards. SUSS MicroTec Lithography says that it is commited to providing consistent high-quality development, production, and service processes at both German manufacturing sites in Garching and Vaihingen/Enz.

IBM, Japan's Central Glass to make new photoresists

01/11/2008  Jan. 11, 2008 - IBM and Central Glass have agreed to jointly explore use of fluoromaterials (materials that contain fluorine atoms) in developing photoresist materials for future semiconductors.

Ponte Solutions sees advantage in taking DFM to the IP level

01/09/2008  As illustrated by recent news, Ponte Solutions is strategically targeting DFM at the IP level by placing tools in the hands of designers where they are most comfortable: within the "cockpits" of their favorite EDA tools. (The message being sent to fabless companies: you must own the DFM challenge.) Execs also tell WaferNEWS about their new physics-based etch modeling for vias/contacts and poly/metal at 45nm and beyond.

Lithography workshop report: 193nm/DEDE for 32nm, still weighing 22nm options

01/07/2008  Immersion lithography was the central theme at the 2007 [formerly IEEE] Lithography Workshop (Dec. 9-13, Puerto Rico), since 193nm immersion and double exposure/double etch appears the likely choice at 32nm. Also discussed were EUV's trio of major unsolved problems, multibeam's revival, and possible litho inspiration in magnetic discs and flat-panel displays.

Toshiba, SanDisk ramping 43nm NAND flash with HK+MG, 3b/cell

01/04/2008  Toshiba and SanDisk aim to increase their share of the NAND flash market by bringing down costs, as they accelerate the ramp of their new Yokkaichi Fab 4 next year, introducing 43nm geometries, high-k/metal gates, second generation 1.3NA immersion lithography, and 3 bits per cell. Executives from both companies detailed their current production plans and future roadmap for flash memory technology to SST partner Nikkei Microdevices.

Cleanroom Garments

01/01/2008  Since human-generated contamination plays a large role in critical environments, special care must be taken to provide appropriate garments to minimize the human impact on the cleanroom.

ASML, Zeiss, Canon licensing litho tool IP

12/21/2007  December 21, 2007 - ASML and Carl Zeiss SMT say they have agreed to cross-license patents with Canon in their respective fields of semiconductor lithography and optical components, meaning they can market products using technology covered by the others' litho equipment-related patents.

SIA Hails 60th Birthday of Microelectronics Industry

12/13/2007  ; The Semiconductor Industry Association (SIA) today hailed the transistor as the greatest invention of the 20th century. The first working transistor was created 60 years ago, on December 16, 1947, at Bell Laboratories in New Jersey. The inventors — William Shockley, John Bardeen, and Walter Brattain — were awarded the Nobel Prize in Physics in 1956 for their invention.

Oxford Instruments to equip new UK lab

12/13/2007  Oxford Instruments has received an order for nine process tool systems worth more than £2.5m ($5m) to equip a new cleanroom facility at the University of Southampton in the UK, the company announced in a news release.

IEDM news: TSMC reports 32nm SRAM, sans HK+MG

12/11/2007  December 10, 2007 - Top global foundry TSMC says it has developed a 2Mb SRAM test chip with 32nm process technologies that supports both analog and digital functionality -- and doesn't rely upon high-k gate dielectrics or metal gates.

KLA-Tencor, Nikon collab to corral "mix-and-match" litho setups

12/11/2007  December 10, 2007 - KLA-Tencor and Nikon say they have developed a set of fully automated system tools for correcting overlay errors in "mix and match" lithography setups that encompass tools of varying capabilities and from different suppliers.

JSR, IBM to work on new materials, self-assembly

12/10/2007  December 10, 2007 - IBM and JSR Micro say they will worth together to explore new technologies for emerging semiconductor materials and processes, targeting next-generation lithography as well as self-assembly applications.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts