Lithography

LITHOGRAPHY ARTICLES



SST ON THE SCENE @ SEMICON WEST: The consortia balancing act; Dishing on the rest of the transistor

08/07/2007  IMEC's VP of Business Development, Ludo Deferm, takes SST On the Scene down the path from 45nm to 22nm, and points out the tough questions that loom, such as single-node lithography options and introduction of new architectures such as finFETs. Meanwhile, Larry Larson, frontend associate director at SEMATECH, explains whether Schottky contacts could be a solution to the need for lower resistivity contacts.

Qualcomm tapes out 45nm design

08/02/2007  August 2, 2007 - Qualcomm Inc. says it has taped out a chip fabricated on a low power-optimized 45nm CMOS process technology, using "very low-k" intermetal dielectrics and immersion lithography, and says it has started development work on a 40nm process.

STARC taps Blaze DFM for CEL reference design flow

08/02/2007  August 2, 2007 - The Semiconductor Technology Academic Research Center (STARC), which works with all of Japan's major chipmakers, will use Blaze DFM for lithography simulation and analysis in its STARCAD "certified engineering linkage" (described as "one step ahead of DFM") reference design flow, the companies announced.

OAI adds NIL module for mask aligners

08/01/2007  August 1, 2007 - Optical Associates Inc. (OAI) says it has added a nanoimprint lithography module as an option to its mask aligners, technology provided by HP spinoff Nanoimprintsolution Inc.

Photronics cuts outlook on FPD, photomask slowdowns

08/01/2007  August 1, 2007 - Photronics Inc. says 3Q07 sales will be 6%-14% below previous projections to a range of $101-$103 million, due to a shortfall in photomask orders from FPD and European semiconductor customers.

OAI adds nano imprint lithography option for mask aligners

08/01/2007  Working with HP licensee Nanolithosolution Inc., OAI (Optical Associates Inc.) is offering nano imprint lithography as an option for all of its mask aligners -- which can then be used as imprint systems or as standard mask aligners.

Keeping clean in the extreme

08/01/2007  Despite the high technology, the semiconductor industry is conservative, preferring to stick to what is known and works rather than trying something new-unless, of course, there’s no alternative.

Cymer selected by ASML as EUV source supplier

07/31/2007  July 19, 2007 -- SAN FRANCISCO, CA -- At SEMICON West 2007, Cymer, Inc. announced the company has been selected by ASML Holding NV (ASML) as the extreme ultraviolet (EUV) source supplier for its EUV scanners for high-volume manufacturing.

SEMICON WEST REPORT: Plenty of room for litho, DFM debates

07/31/2007  Amid many debates over 45nm- and 32nm manufacturing challenges, photolithography wasn't the hottest topic at this year's SEMICON West, possibly because the first 45nm-capable immersion scanners have been shipped and no one seems to know how 32nm might be achieved economically. There were spirited discussions, though, about potentially radical ways to significantly increase throughputs by the time double-patterning is required, and whether DFM is really ready for the burden being asked of it.

SUSS spins off device bonder unit

07/27/2007  July 27, 2007 - SUSS MicroTec has carved out its device bonder division through a management buyout initiated by SUSS France president Gael Schmidt, in order to gain independence from the parent company which has little strategic synergies.

Making solar cells: This *is* your father's fab

07/24/2007  What's the difference between semiconductor equipment and solar equipment? "A factor of ten, squared," said T.J. Rodgers, chairman of SunPower Corp. and president and CEO of Cypress Semiconductor, during his Wednesday (July 18) keynote address at SEMICON West. "If you want to sell a solar fab some equipment, it has to be 10x cheaper" -- e.g., $400,000 instead of $4 million -- "and it has to be 10x faster, say, 800 wafers/hr, not 80 wafers/hr."

IMEC reports progress in advanced litho program

07/24/2007  July 16, 2007 -- SAN FRANCISCO, CA -- One year after shifting the objective of its lithography program to the 32 nm half-pitch node, IMEC reports progress on high-index 193 nm immersion lithography, double-patterning schemes for 193 nm water-based immersion lithography and extreme UV (EUV) lithography.

SEMICON WEST REPORT: Keynotes tap into promises, challenges of solar

07/20/2007  A pair of Wednesday keynotes at SEMICON West described opportunities for semiconductor suppliers in the solar energy market, but cautioned that there are fundamentally different manufacturing requirements in the two industries.

Entegris rolls out filters, reticle haze add-on

07/20/2007  July 19, 2007 - Amid a batch of product rollouts this week, Entegris Inc. has unveiled a new line of high-flow liquid filters for sub-45nm contamination control, and an add-on to its 193nm litho reticle haze tool targeting deep-ultraviolet lithography.

Carl Zeiss lens ready in ASML's 1900i immersion litho tools

07/20/2007  July 19, 2007 - Carl Zeiss SMT says more than 10 of its Starlith 1900i immersion lithography optical lenses have been qualified for integration into ASML's Twinscan XT:1900i wafer scanners, with one tool already shipped to an end user.

SEMICON WEST REPORT: The transistor is cool again

07/19/2007  The main topics for discussion at Applied Materials' press event on Tuesday were about high-k/metal gate processes (HK+MG) and double patterning lithography, though much of the talks concentrated on the gate technology -- and how they created the delicately etched iceblocks serving as table centerpieces.

Vistec combines litho groups

07/19/2007  July 18, 2007 - Vistec Semiconductor Systems says it will combine its electron beam and lithography business groups in order to "better meet customers' requirements and improve synergy across the organization."

ASML selects Cymer as EUV source supplier for HVM

07/18/2007  July 18, 2007 - At SEMICON West 2007, ASML Holding NV (ASML) has selected Cymer Inc. as the extreme ultraviolet (EUV) source supplier for ASML's EUV scanners for high-volume manufacturing (HVM). Cymer disclosed that it has signed a multi-year, multi-unit EUV source agreement with the first shipment scheduled for late 2008.

AMAT releases oxide spacer system at SEMICON West

07/18/2007  July 18, 2007 - Applied Materials Inc. today released its Applied Producer ACE SACVD system, which helps extend 193nm lithography using self-aligned double patterning (SADP) schemes. The ACE system reportedly delivers a highly conformal oxide spacer film with greater than 95% step coverage, <5% pattern loading and <1% nonuniformity for critical dimension control.

Carl Zeiss announces new 248nm litho system for 80nm resolution

07/18/2007  July 18, 2007 - Carl Zeiss SMT AG announced at SEMICON West today its new optical lithography system for KrF, the Starlith 1000, with a numerical aperture (NA) of 0.93, which will reportedly be the highest NA for 248nm exposure wavelength available in the market.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts