Lithography

LITHOGRAPHY ARTICLES



SEMI Global Trade Seminar in China focuses on shifting U.S.-Sino relations

11/21/2018  With China now a prominent player in the industry, it has become critically important for semiconductor companies to effectively engage with China.

Outlook remains bright for automotive electronic systems growth

11/19/2018  Despite some high-profile setbacks with autonomous vehicles, auto electronic systems growth projects well; remains a hotbed for semiconductor growth.

SEMI Europe keynote to highlight Europe’s competitiveness at EFECS 2018 in Lisbon

11/13/2018  Joining distinguished speakers from the European Commission, industry, academia and Member States, Laith Altimime, SEMI Europe president, will keynote on “European Competitiveness in the Context of the Global Digital Economy” on 20 November at the European Forum for Electronic Components and Systems (EFECS) in Lisbon, Portugal.

IHP cooperates with EV Group on low-temperature covalent wafer bonding

11/12/2018  EVG ComBond enables wafer-level packaging and heterogeneous integration for advanced MEMS, high-performance logic, power and "Beyond CMOS" devices with micron-level alignment accuracy.

Micron joins CERN openlab, bringing new machine learning capabilities to advance science and research

11/12/2018  Micron Technology, Inc. today announced the company has joined CERN openlab, a unique public-private partnership, by signing a three-year agreement.

Nine Top-15 2018 semi suppliers forecast to post double-digit gains

11/12/2018  Samsung expected to extend its number one ranking and sales lead over Intel to 19%.

Entegris expands clean manufacturing facility in Malaysia

11/08/2018  30% increase in total manufacturing capacity of advanced technology FOUPs to meet customer demands for the next decade.

SEMI supports U.S. return to trade talks with China, issues trade negotiation principles

11/08/2018  SEMI today voiced support and encouragement for trade discussions between U.S. President Donald Trump and People's Republic of China President Xi Jinping -- talks that are planned for Dec. 1 during the G20 Summit in Argentina.

Semiconductor Research Corporation welcomes SK hynix to its acclaimed GRC and NST research programs

11/08/2018  SRC research focused on next-generation semiconductor technology continues to attract the world's leading semiconductor design and manufacturing companies.

Third quarter silicon wafer shipments increase, set new quarterly record

11/07/2018  Worldwide silicon wafer area shipments increased during the third quarter 2018, surpassing record second quarter 2018 area shipments to set another all-time high, according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

SkyWater appoints Tom Legere as Senior Vice President of Operations

11/06/2018  SkyWater Technology Foundry today announced that Tom Legere has been appointed as Senior Vice President of Operations.

SEMI wins award for Nano-Bio Materials Consortium to grow digital health industry

11/05/2018  SEMI announced today that it has signed a new agreement with the U.S. Air Force Research Laboratory (AFRL) to expand the Nano-Bio Materials Consortium's (NBMC) work in advancing human monitoring technology innovations for telemedicine and digital health.

GLOBALFOUNDRIES introduces Avera Semi, a wholly owned subsidiary to deliver custom ASIC solutions

11/01/2018  GLOBALFOUNDRIES today announced the establishment of Avera Semiconductor LLC, a wholly owned subsidiary dedicated to providing custom silicon solutions for a broad range of applications.

GSA announces new leadership and multiple market initiatives

10/31/2018  The Global Semiconductor Alliance (GSA) Board of Directors has appointed Dr. Lisa Su, President and Chief Executive Officer of Advanced Micro Devices, Inc. (AMD), as Chair of GSA Board of Directors and Simon Segars, Chief Executive Officer of Arm, as the Vice Chair.

Global semiconductor sales in September up 13.8% year-to-year

10/29/2018  Q3 sales are highest on record, 4.1 percent more than previous quarter, 13.8 percent higher than Q3 of last year.

SEMI Europe supports joint call to candidates for 2019 European elections

10/29/2018  SEMI Europe today confirmed its support for the joint call to future Members of the European Parliament to put industry at the core of the European Union's future.

KLA-Tencor announces plans to establish R&D facility in Ann Arbor, Michigan

10/25/2018  KLA-Tencor Corporation has announced plans to establish a research-and-development (R&D) center in Ann Arbor, Michigan.

North American semiconductor equipment industry posts September 2018 billings

10/24/2018  North America-based manufacturers of semiconductor equipment posted $2.09 billion in billings worldwide in September 2018 (three-month average basis), according to the September Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI.

U.S. announces trade talks with Japan, the EU, and UK; Action will benefit semiconductor industry

10/22/2018  Last week, the Office of the U.S. Trade Representative (USTR), on instruction from President Trump, notified Congress that the administration intends to begin bilateral trade negotiations with Japan, the European Union (EU), and the United Kingdom.

Samsung debuts semiconductor innovations at Samsung Tech Day

10/19/2018  Technologies introduced at the event include 7nm LPP EUV, SmartSSD and 256GB 3DS RDIMM.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts