Packaging

PACKAGING ARTICLES



IDT and Steradian Semiconductors announce strategic partnership

08/22/2018  Integrated Device Technology, Inc. announced today a strategic partnership with Steradian Semiconductor Pvt. Ltd. to deliver ultra-high resolution 4D mmWave imaging RADAR for emerging industrial, security, medical, and autonomous vehicle markets.

New material could improve efficiency of computer processing and memory

08/22/2018  Discovery could have major impact on semiconductor industry.

MRSI Systems launches MRSI-HVM3P for new applications extending the MRSI-HVM3 die bonder family

08/21/2018  MRSI Systems (Mycronic Group), is expanding its high speed MRSI-HVM3 die bonder platform with the launch of the MRSI-HVM3P to offer configurations for active optical cable (AOC), gold-box packaging, and other applications in addition to chip-on-carrier (CoC).

Soitec and MBDA to acquire Dolphin Integration Assets

08/21/2018  Soitec (Euronext Paris), a designer and manufacturer of semiconductor materials, and MBDA, announce the joint acquisition of Dolphin Integration.

Toshiba announces next-generation superjunction power MOSFETs

08/20/2018  New devices increase power supply efficiency even further.

CTA study: China tariffs will cost the U.S. economy up to $2.4B annually

08/17/2018  The Trump administration's consideration of tariffs on Chinese printed circuit assemblies and connected devices would cost the economy $520.8 million and $2.4 billion annually for the 10 percent and 25 percent tariffs, respectively, according to a new study commissioned by the Consumer Technology Association (CTA).

pSemi announces world's first monolithic, SOI Wi-Fi front-end module

08/15/2018  pSemi Corporation (formerly Peregrine Semiconductor), a Murata company focused on semiconductor integration, introduces the world’s first monolithic, silicon-on-insulator (SOI) Wi-Fi front-end module.

SEMI integration of ESD Alliance underway

08/14/2018  SEMI today announced that all legal requirements have been met for the ESD (Electronic Systems Design) Alliance to become a SEMI Strategic Association Partner.

TowerJazz to hold Technical Global Symposium (TGS) in China

08/14/2018  TowerJazz, the global specialty foundry, announced details of its China Technical Global Symposium (TGS) event in Shanghai on August 22, 2018.

Keysight Technologies acquires Thales Calibration Services

08/13/2018  This acquisition establishes Keysight as the largest calibration and support services organization in Australia.

DRAM sales forecast to top $100B this year with 39% market growth

08/09/2018  With 24% IC marketshare, DRAM expected to account for nearly one in four IC sales dollars spent.

Multilayer chip beads with high rated currents

08/08/2018  TDK Corporation has developed the new MPZ0603-H series of multilayer chip beads for power lines in an IEC 0603 package that feature twice the rated current and about half the DC resistance of the existing MPZ0603-C series.

European electronics industry CEOs call on European Commission to bolster sector's competitiveness

08/08/2018  In a bid to reinvigorate Europe’s electronics strategy and strengthen the region’s position in key emerging technologies, European electronics industry CEOs in June called on public and private actors to accelerate collaboration at the European Union and national levels.

Achronix and Mentor partner to provide link between high-level synthesis and FPGA technology

08/07/2018  Achronix Semiconductor Corporation today announced availability of an optimized High-Level Synthesis (HLS) flow from its partner, Mentor, a Siemens business, for its FPGA technology products.

Mid-year global semiconductor sales up 20.4% compared to 2017

08/06/2018  Q2 sales are highest on record, 6.0 percent more than previous quarter, 20.5 percent higher than Q2 of last year.

Samsung Electronics starts mass production of industry's first 4-bit consumer SSD

08/06/2018  Samsung Electronics Co., Ltd. today announced that it has begun mass producing the industry’s first 4-bit (QLC, quad-level cell) 4-terabyte (TB) SATA solid-state drive (SSD) for consumers.

SEMI High-Tech Facility events: Shaping the future of smart factories in Taiwan

08/02/2018  The march to greater precision, efficiency and safety – the lifeblood of high-technology manufacturing facilities – has taken on a new urgency as emerging applications such artificial intelligence (AI), the Internet of Things (IoT) and Industry 4.0 give new meaning to smart factories.

Xperi partners with UMC to support production of direct and hybrid bonding 3D semiconductor technologies

08/02/2018  Partnership enables UMC to develop and manufacture products utilizing Invensas DBI and ZiBond technologies.

Leti and CMP announce world's first multi-project wafer service with integrated silicon OxRAM

08/02/2018  Leti, a research institute at CEA Tech, and CMP, a service organization that provides prototyping and low-volume production of ICs and MEMS, today announced the integrated-circuit industry's first multi-project-wafer (MPW) process for fabricating emerging non-volatile memory OxRAM devices on a 200mm foundry base-wafer platform.

Toshiba develops 40V N-channel power MOSFETs with improved thermal performance

07/31/2018  New packaging provides double-sided cooling for improved heat dissipation.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts