Semiconductors

SEMICONDUCTORS ARTICLES



Samsung president Woo to keynote 2013 International CES

07/16/2012 

Dr. Stephen Woo, president of Samsung Electronics’ Device Solutions will keynote the 2013 International CES, January 8-11 in Las Vegas, NV, hosted by the CEA.

Oxford Instruments increases throughput on plasma etch and deposition tool

07/16/2012 

Oxford Instruments launched the PlasmaPro 100 etch and deposition tool for manufacturing MEMS, HB-LED, semiconductors, and other applications.

Terry Brewer chats about SEMI and semiconductors at SEMICON West

07/13/2012 

Terry Brewer, one of the newest members of SEMI's North American advisory board, talks about semiconductor industry consolidation, as well as new technologies and materials in the industry. He shares how SEMI is reacting to this evolution.

NOR Flash makers balance cellphone slowdown with new design wins

07/13/2012 

NOR flash memory sales growth may be tapering off in mobile handsets/smartphones, but embedded applications in the tablet, automotive, and industrial markets are picking up the slack, says IHS.

Bruker metrology suite debuts for 450mm semiconductor fab

07/13/2012 

Bruker introduced three 450mm X-ray and AFM semiconductor metrology tools -- the InSight-450 3DAFM, the D8 FABLINE X-ray system, and the S8 FABLINE-T X-ray system -- during SEMICON West.

2012 ITRS stabilized for front-end, but changes loom for 2013

07/13/2012 

The overriding message for 2012 is that the roadmap has been largely stabilized with the significant changes that were input last year in the 2012 publication,” said Intel’s Alan Allan, speaking at Semicon West.

Newport introduces 450mm air bearing stages

07/12/2012 

Newport Corporation introduced a line of high-performance air bearing stages specifically designed for the 450mm semiconductor wafer initiative.

Microelectronics standards leaders honored by SEMI

07/12/2012 

At SEMICON West, SEMI honored six industry leaders for their outstanding accomplishments in developing standards for the microelectronics and related industries.

Fabless keynote: Xilinx on programmability @ SEMICON West

07/12/2012 

SEMICON West’s Day 2 keynote speaker represented a fabless company: Ivo Bolsens, PhD, SVP and CTO of Xilinx presented on how programmable chips and innovative packaging can advance semiconductors.

Interviews with CEA-Leti researchers at SEMICON West

07/12/2012 

CEA-Leti presented research updates alongside SEMICON West this week. After the talks on device architecture, 3D and 2.5 packaging interconnects, large-scale computing and power consumption, and more, CEA-Leti’s researchers joined Solid State Technology to talk about their fields of interest.

Q3 semiconductor tool capex pull-back: Seasonal, expect Q4 uptick

07/12/2012 

Barclays Capital is seeing various reasons for a Q3 2012 semiconductor fab order/shipment pull-back, following meetings around SEMICON West 2012. The analysts expect strong orders in Q4.

Semiconductor fab tool capex trends gleaned @ SEMICON West

07/12/2012 

After meeting with various semiconductor manufacturing tool suppliers -- Applied Materials, KLA-Tencor, Lam Research, Tokyo Electron, Teradyne and Cymer -- at SEMICON West, Citi analysts share impressions on foundry spending plans and tool choices.

SEMICON West Day 2: DSA lithography and CMP meetings

07/12/2012 

Blogger Michael A. Fury, Ph.D., Techcet Group, reports on Day 2 of SEMICON West with insights from the Sokudo Lithography Forum and NCCAVS CMPUG meeting, and -- sadly -- none on SEMICON West’s Happy Hour.

Why Micron’s Elpida buy is undeterred by unsecured bondholder action

07/12/2012 

A group of unsecured Elpida bondholders filed documents indicating an alternative proposal to Micron's acquisition of Elpida Memory’s assets. Barclays Capital believes the counter move is unlikely to have a material impact on the actual outcome. Here's why.

Pall joins SEMATECH’s ISMI to address semiconductor fab contamination control

07/11/2012 

Pall Corporation, filtration, separation and purification technology provider, joined the International SEMATECH Manufacturing Initiative (ISMI) program on equipment productivity and factory automation projects to address equipment stability and sustainability challenges as well as next-generation processing issues.

Rudolph launches noncontact metal film metrology for FPD panels

07/11/2012 

Rudolph Technologies launched the MetaPULSE FP thin film metrology system with an initial sale to a major manufacturer of flat panel displays (FPD) for handheld mobile devices.

Jordan Valley Semiconductor's 450mm defect detection tool wins Best of West

07/11/2012 

Solid State Technology and SEMI announced the Best of West Award winner -- Jordan Valley Semiconductor -- during SEMICON West today. Jordan Valley Semiconductor’s QC-TT defect inspection system predicts breakage in 450mm wafers, which are subject to more handling steps and more thermal stresses due to their larger size.

IBM partners with ATMI to address 14nm semiconductor process challenges

07/11/2012 

ATMI Inc. (NASDAQ-GS:ATMI) entered into a joint development agreement with IBM to address critical wet process challenges at the14nm semiconductor node and smaller.

Chat with Intel’s Shekhar Borkar @ SEMICON West 2012: Overpowering power consumption

07/11/2012 

In this video interview, Intel's Shekhar Borkar shares some key topics from SEMICON West keynote: Near-threshold voltage transistor designs, 3D integration for DRAM, unconventional interconnect, and more.

SEMICON West Day 1: Focus on EUV lithography and 450mm

07/11/2012 

Barclays Capital analysts share observations from meetings with semiconductor manufacturing tool suppliers at SEMICON West, noting the enthusiasm and concrete deals around EUV lithography and transitioning to the 450mm wafer size.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts