Semiconductors

SEMICONDUCTORS ARTICLES



Techcet reveals CMP metrology, supply, and process trends

02/14/2012 

Lita Shon-Roy and Michael Fury, PhD, Techcet will present "CMP Market Outlook & New Technology - Dynamic Slurry Metrology," a free webinar at 2 times on February 22.

Brewer Science rolls out gen-2 OptiStack lithography patterning products

02/14/2012 

Brewer Science uncrated the next generation of its OptiStack system of patterning products for semiconductor manufacturing, targeting emerging and existing lithography processes.

TEL joins CEA-Leti's lithography program IMAGINE

02/14/2012 

Tokyo Electron Ltd. (TEL) will join research organization CEA-Leti's IMAGINE open, collaborative industrial program on advanced lithography for semiconductor manufacturing.

European microelectronics fab database tracks major changes over past 5 years

02/13/2012 

Yole Developpement released "European Microelectronic Fabs Database & Report 2012," a database and report on the European microelectronics and microsystem manufacturing fabs, pilot lines, and major R&D organizations.

New RAVE division offers semiconductor makers custom lithography defect study

02/13/2012 

RAVE N.P. Inc. established a new division, Advanced Technical Instruments or ATI, comprising SEM, AFM, and other analysis tools, as well as custom semiconductor and photomask services such as haze generation systems.

Gigaphoton achieves EUV lithography milestone

02/13/2012 

Lithography light source maker Gigaphoton, Inc. achieved 7W of extreme ultra violet (EUV) power on its mass-production laser-produced plasma (LPP) light source, scheduled to be shipped in 2012.

IEEE Frederik Philips Award goes to C.Y. Lu

02/13/2012 

Chih-Yuan (C. Y.) Lu, a semiconductor engineer, scientist, and entrepreneur in Taiwan, is being honored by IEEE with the 2012 IEEE Frederik Philips Award.

Brewer Science, Pixelligent debut hardmask for advanced semiconductor lithography

02/13/2012 

Pixelligent and Brewer Science Inc. developed a spin-on hardmask technology for advanced lithography, combining nanocrystal and microelectronic coating technologies.

SPIE Advanced Lithography preview: eBeam Initiative roadmap

02/13/2012 

The eBeam Initiative, a forum for new IC manufacturing approaches based on electron beam (e-beam) lithography, will unveil its latest roadmap at the SPIE Advanced Lithography Symposium.

ASML's Brion combines OPC techniques for faster 2Xnm lithography mask tapeout

02/13/2012 

ASML's Brion Technologies debuted Tachyon Flexible Mask Optimization, which enables use of multiple OPC techniques in a single mask tapeout for 2Xnm lithography.

Tektronix donates oscilloscopes, other semiconductor metrology equipment to WSU Vancouver

02/13/2012 

Tektronix donated semiconductor test and measurement equipment to Washington State University Vancouver: arbitrary/function generations, a real-time spectrum analyzer, digital phosphor and mixed signal oscilloscopes, Keithley's semiconductor parameter analyzers, and Fluke's True-rms multimeters.

MAPPER Lithography tech resolves 22nm lines, spaces, contact holes in CEA-Leti work

02/13/2012 

CEA-Leti reports that the MAPPER Lithography massively parallel direct write technology resolves 22nm dense lines and spaces and 22nm dense contact holes in positive chemically amplified resist. The maskless lithography tech meets semiconductor requirements for 14nm and 10nm logic nodes.

Semiconductor yield at 450mm: Solid State Technology March 2012 issue preview

02/10/2012 

The March 2012 issue of Solid State Technology will feature "450mm wafer transition" from Dr. Brian Trafas, chief marketing officer at KLA-Tencor. The following is a sneak preview of Dr. Trafas' article.

IC industry headed for 7% growth in 2012; 11 of 33 categories will outperform

02/10/2012 

IC Insights forecasts 7% growth for the total IC industry in 2012. 27 of the 33 major IC product categories will experience growth in 2012. 11 will grow faster than 7%. 6 will show double-digit growth.

imec achieves 300mm wafer-fab-compatible directed self assembly

02/10/2012 

imec successfully implemented a 300mm directed self-assembly (DSA) semiconductor manufacturing process line in its fab, with TEL equipment, AZ Electronic Materials consummables, and research from the University of Wisconsin.

Semiconductor metrology beyond 22nm: FinFET metrology

02/09/2012 

SEMATECH authors discuss semiconductor metrology solutions currently being investigated to address the challenges of future nodes. FinFETs raise new metrology complexities, as the entire 3D structure becomes critical for process control, including fin and gate dimensions, profiles and roughness, and metal gate undercuts.

Georgia Institute of Technology, PARC + Thin Film Electronics, Western Michigan University win FLEXIs for flexible electronics advances

02/09/2012 

FlexTech Alliance awarded its 2012 FLEXI Awards for flexible, printed electronics and displays industry to PARC and Thin Film Electronics, Western Michigan University, and the Georgia Institute of Technology.

Theta Delta's burn-in & long-term semiconductor testers gain Core Wafer Systems technologies

02/09/2012 

Theta Delta will incorporate Core Wafer Systems technologies into its burn-in test and long-term reliability test systems for semiconductors. Core Wafer Systems provides parallel measurement schema for semiconductor test.

2011 ITRS: DRAM, 3D Flash, MEMS, nano scaling steal the show

02/08/2012 

The 2011 International Technology Roadmap for Semiconductors (ITRS) has been publicly released. Several areas of advancement are highlighted in the 2011 ITRS: DRAM and Flash memory, and MEMS.

Compound semiconductor makers focus on growing high-frequency market

02/08/2012 

Handset products dominate compound semiconductor revenue, but microelectronics companies focus development on high-performance, high-frequency applications where volumes are lower and products are differentiated by performance, reports Strategy Analytics.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts