Semiconductors

SEMICONDUCTORS ARTICLES



Real-time-gas-flow-monitoring-improves-mass-flow-controller-performance-in-wafer-fab

02/01/2011 

Real-time gas flow monitoring improves mass flow controller performance understanding in wafer fabSanjay Yedur et al, from Pivotal Systems and J.H. Lee et al from Samsung’s R&D Equipment Engineering Team discuss the use of a real-time gas flow monitoring system that allows for in-situ flow measurements, based on a highly accurate rate of pressure drop over a known volume and temperature. Using this system, insights are gained on the run-to-run repeatability of mass flow controller (MFC) transient and steady-state flow during wafer processing.

Wafer cleaning: the next frontier in semiconductor fabrication

02/01/2011  Ralph Spicer, Qcept Technologies, Atlanta, GA USA

The future of lithography

02/01/2011  Semiconductor manufacturers are now relying on immersion lithography for the 32 nm node, sometimes with double- and triple-patterning approached. Work progresses on EUV as the heir apparent, but e-beam lithography could emerge as a viable alternative. We invited experts from SEMATECH, imec, Cymer , D2S and Molecular Imprints to give their perspective on next generation lithography challenges and solutions.

Predictive, short-interval scheduling improves litho utilization and cycle time

02/01/2011  An advanced scheduling approach is providing semiconductor manufacturers with improved results in critical photolithography areas. Steve Marteney, Applied Materials Inc., Salt Lake City, UT USA

Technology and cost considerations for high-volume HBLED lithography

02/01/2011  Lithography is one of the critical processes affecting overall LED device yield and performance, but the use of 1X steppers provides significantly better technology and economic advantages for high-volume manufacturing environments. Manish Ranjan, Doug Anberg, Warren Flack, Ultratech Inc., San Jose. CA USA

HBLED Manufacturing: Gearing Up

02/01/2011  Peter Singer, Editor-in-Chief

High-resolution spectrometer offers tailored gratings, high-performance optics

01/31/2011 

McPherson spectrometerMcPherson’s high-resolution spectrometer, Model 2061, is now available for scanning and imaging applications via 2D CCD or CMOS detectors. It suits emission, luminescence, Raman (strained Silicon), and high-temperature plasma measurement with better than 0.02nm full width half maximum spectra.

Vistec wins electron beam lithography system order from Sabanci U Istanbul

01/28/2011 

Vistec’s EBPG5000plusESAn EBPG5000plusES will be delivered to the newly formed Nanotechnology and Application Center (SUNAC) of the Sabanci University based in Istanbul. The Gaussian Beam system fulfills the challenging requirements of the institute which will collaborate in the field of nanotechnology research with other institutions.

Top foundries reduced to three

01/27/2011 

By the end of 2011 there may be only three leading-edge high-volume foundries -- TSMC, GlobalFoundries, and Samsung -- able and willing to push the leading edge of CMOS through the 32nm and to 22nm and beyond, says IHS iSuppli.

What's brewing in AMEC-Lam etch IP dispute?

01/27/2011 

AMEC says it's won two key patent disputes with Lam Research over etch technologies. Is this merely marketplace posturing, or is there significance here?

Metrology tool debuts for B-SiGe HKMG from CAMECA

01/27/2011 

e EX-300 is a versatile metrology tool based on LEXES (Low-energy Electron induced X-ray Emission Spectrometry) technologyCAMECA unveiled the latest addition to its line of high-end metrology systems: the EX-300 metrology tool targeted for front-end process control of 22 nm technology nodes and beyond. e EX-300 is a versatile metrology tool based on LEXES (Low-energy Electron induced X-ray Emission Spectrometry) technology.

Global standards semiconductor-grade O-rings: SEMI Standards task force

01/26/2011 

To help the global semiconductor industry prepare for the 22nm node and 450mm wafers, sealing products supplier Applied Seals North America is leading a new SEMI Standards task force to develop the first substantial standards for perfluoroelastomer (FFKM) o-rings and sealing elements.

McClean gives positive outlook for 2011, expecting 4% U.S. GDP

01/25/2011 

McClean gives positive outlook for 2011With double-digit growth in consumption in key sectors (PCs, cell phones), strong GDP increase, wafer fab capex increases, and firm ASPs, Bill McClean, president, IC Insights, gave SEMI Industry Strategy Symposium (ISS) attendees some "reasons for optimism."

Imec-begins-high-bandwidth-optical-I-O-research

01/25/2011 

imec optical chip research program launchedImec launched an industrial affiliation program on high-bandwidth optical input/output (I/O). The primary objective of the new program, which is part of imec's research platform on deep-submicron CMOS scaling, is to explore the use of optical solutions for realizing high-bandwidth I/O between CMOS chips. 

SEMI: Chip demand slips heading out of 2010

01/24/2011 

Appropriate for this blustery ski season, the clear view of semiconductor equipment demand appears to be heading down a slope, according to data from semiconductor manufacturing equipment makers.

KLA-Tencor debuts LED substrate epi-wafer inspection system

01/24/2011 

KLAC inspection system debuts for HBLED substratesKLA-Tencor (KLAC) debuted an automated inspection system for substrates and epitaxial wafers used in HBLED manufacturing. The Candela 8620 provides automated defect inspection for LED materials such as gallium nitride, sapphire, and silicon carbide, enabling enhanced quality control of both opaque and transparent substrates, faster time-to-root cause, and improved MOCVD reactor uptime and yield. Frank Burkeen, KLA-Tencor, discusses the Candela 8620 inspection system.

Analyst's take: Why the gate first-last debate isn't over

01/24/2011 

Common Platform Technology execs have declared that they will switch from a gate-first approach to a gate-last approach starting with the 20nm process technology node, essentially reversing their position for the past few years. Analysts told SST why the CPA had a change of heart, why it's not unexpected, and why other concerns will very soon overshadow this switchover -- and likely resurrect the debate.

Silicon nanophotonics could answer data needs if manufacturing requirements are met

01/21/2011 

The amount of data that will need to be moved in the not-too-distant future motivates research into a better way to connect devices, said Intel Fellow and director of the Photonics Lab at Intel Labs, Mario Paniccia, at SEMI’s Industry Strategy Symposium (ISS). Paniccia discusses the manufacturing requirements for silicon photonics, including optical testers.

Backside wafer particle reduction using ionization in PVD

01/20/2011 

Ionizers used in PVD wafer processingIonizers can improve wafer back- and frontside particle performance in PVD processing tools by removing surface charges that hold electrostatically adhered particles. Controlling charges results in higher yields for chip manufacturers and improved tool performance. Viraj Pandit and Emery Kuo, Novellus Systems and Cheryl Avery, ION Systems show that the INOVA PVD system’s good particle performance (with good quality wafers) is made more robust with an ionizer installation (with marginal quality wafers).

Flat 2011 wafer fab equipment capex, but look to 193i stepper to step out

01/19/2011 

Semiconductor capital equipment, 4Q10: strength through 2013, then retrenchment. Source: Gartner.Wafer fab capex in 2011 is expected to stick around 2010 levels. But don't despair of growth, says Dean Freeman of Gartner. For one thing, cutting-edge wafer fab equipment will be hot. On top of that, 2011 will be a brief respite, with a strong 2012/2013 waiting in the wings.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts