Semiconductors

SEMICONDUCTORS ARTICLES



Carl Zeiss debuts SEM with enhanced resolution in the low kv region

07/19/2010 

Carl Zeiss introduced EVO HD, a conventional Scanning Electron Microscopy (C-SEM) system with higher resolution at low acceleration voltages. The EVO HD introduces High Definition to electron microscopy.

SEMICON West wrap with JC Kim

07/16/2010 

SEMICON West 2010 wrapped this week in San Francisco. This article includes JC Kim, SEMI Board of Directors Chair, discussing the show and the semiconductor industry future. We also have POVs from the show floor by the ElectroIQ.com bloggers.

Semiconductor fab and packaging in the US: Marcy Nano Center

07/16/2010 

In this video, Timothy Dunn, Marcy Nano Center, discusses building an eco system for advanced semiconductor manufacturing in the US. Marcy Nano Center is pre-permitted and site-ready to build a semiconductor site with a packaging focus, with its academic and industry partners.

Photos from the Applied Materials tour at SEMICON West

07/16/2010 

While we were not allowed to snap photos of the proprietary processes inside Applied Materials, Solid State Technology editor in chief Pete Singer put together these photos with information from the Applied Materials tour. Highlights include a solar-panel roof on the parking area, and discussion of AMAT's new products.

Faster scatterometry metrology: GlobalFoundries

07/15/2010 

Alok Vaid of GlobalFoundries, explains the technology in his paper on time-to-solution for scatterometry metrology. Scatterometry takes a long time, but Vaid proposes a new approach with more automation.

Yield metrology looking at systematic failure mechanisms: Synopsis

07/15/2010 

In this video from SEMICON West 2010, Sagar Kekare, Synopsis, presents ideas from his paper on rapid root cause analysis and process change validation using design-centric volume diagnostics.

EVG discusses the latest wafer bonding system study results

07/15/2010 

In this video from SEMICON West 2010, Marcus Wimplinger, EV Group, summarizes the results of SEMATECH work using EVG's 300mm bonding systems that enables submicron alignment. Highly accruate wafer bonding is used for Cu-to-Cu bonding and other packaging applications.

SEMICON West: Lithography trends at Sokudo breakfast forum

07/15/2010 

CEA-Leti's Laurent Pain and Didier Louis report from Sokudo's annual Lithography Breakfast at SEMICON West, where this year's forum addressed challenges and development needs for the 22nm node, and updates on 193nm and potential successors EUV and maskless.

450mm report: Standards, AMHS, platforms getting ready

07/15/2010 

Tom Jefferson, ISMI's 450mm program manager, gives SST an exclusive rundown of ISMI's closed-door update on 450mm progress at SEMICON West.

SEMICON West, Day 1: CMP, slurries, metrology, thermal, zombies, observations

07/14/2010 

Techcet's Michael Fury reports from Day 1 of SEMICON West, from keynotes to CMP to thermal characterization, and the continued use of “zombie” semiconductor manufacturing technologies.

Imec and ASML demonstrate potential of 193nm immersion lithography with freeform illumination

07/14/2010 

Imec and ASML collaborated to qualify ASML’s Tachyon Source Mask Optimization and programmable illuminator system FlexRay, proving its potential with the demonstration of a 22nm SRAM memory cell. In October 2010, the ASML XT:1900i lithography scanner at imec will be equipped with FlexRay, enabling imec to explore the ultimate frontiers of immersion lithography.

ASMC: Inside yield enhancement & methodologies

07/14/2010 

Gary Green, co-chair of the yield enhancement/methodologies sessions at this week’s Advanced Semiconductor Manufacturing Conference (colocated with SEMICON West), reviews key themes discussed, including techniques aimed at faster root cause analysis, new methods in analyzing contact failures using e-beam and TEM tools, and increasing test coverage while reducing the number of test wafers.

Entegris signs 300mm wafer carrier patent license with 3S Korea

07/14/2010 

Entegris entered into a worldwide patent license agreement with 3S Korea, covering a suite of Entegris patents for 300mm front opening shipping box (FOSB) products used to transport silicon wafers for semiconductor manufacturing.

AMEC targets advanced packaging TSV etc apps

07/14/2010 

Advanced Micro-Fabrication Equipment Inc. (AMEC) is fielding interest from packaging companies in the Primo D-RIE tool, which can be used to etch wafers for through-silicon via (TSV) interconnects.

Workshop addresses simulating, measuring 3D IC stress using TSVs

07/13/2010 

SEMATECH and Fraunhofer IZFP hosted a follow-up meeting in conjunction with SEMICON West (Tuesday, July 13) to evaluate a design-for-manufacturing (DFM) approach to managing stress in 3D interconnects, and to drive consensus and support for these techniques across the industry.

IBM's Meyerson: Finding where (our) innovation matters

07/13/2010 

 Innovation drives everything -- and innovation spawned from the semiconductor and related industries is poised to do nothing less than change the world, or at least help everyone adapt better to it, as related by SEMICON West kickoff keynoter Bernie Meyerson of IBM.

Soitec platform enables planar FD technology

07/13/2010 

The Soitec Group (Euronext Paris) released the Ultra-Thin Buried Oxide (UTBOX) extension to its Ultra-Thin (UT) silicon-on-insulator (SOI) platform, a robust substrate solution for chip designers tackling the performance, power and density challenges of mobile consumer devices.

Chip makers adopt ASML Holistic Lithography

07/13/2010 

ASML Holding NV (ASML) announced broad customer adoption of holistic lithography products that optimize semiconductor scanner performance and provide a faster start to chip production. All of ASML’s leading-edge scanners are now sold with one or more holistic lithography components.

MicroProbe debuts MEMS-based, multi-DUT, ultra-fine-pitch probe card for IC wafer test

07/13/2010 

MicroProbe Inc., supplier of wafer test technology to the global semiconductor industry, released the MEMS-based Mx-FinePitch (Mx-FP) probe card. The test card series targets ultra-fine-pitch testing of leading-edge SoC and logic devices found in applications like digital cameras, set-top boxes and digital TVs.

SEMI: Materials bounce back to records, but slowing in 2010

07/13/2010 

Unit shipments of key semiconductor materials are already back to record levels just a year after the most punishing period in the industry's history, but the growth will slow in 2011 for several reasons, according to the latest forecast data presented at SEMICON West.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts