Semiconductors

SEMICONDUCTORS ARTICLES



Behind the scenes: ISMI's next-gen fab program

07/17/2009  Reducing cycle times by 50%, and costs by 30%, over the next three years is the stated goal of ISMI's next-generation fab (NGF) program. The group provided SST with tidbits from its member discussions on progress in the most important NGF capabilities to drive equipment effectiveness, including continuous processing, data access, and reducing wafer delays and setup times.

IMEC's Ludo Deferm: Behind the news

07/17/2009  IMEC's Ludo Deferm provides a look behind some major announcements the consortium made at SEMICON West: laser anneal over spike anneal, EUV mask cleaning, RuTa metallization showing promise for 22nm PVD.

Best of West: Going green with DI water clusters

07/16/2009  Improve a process, save money and eliminate waste, with no loss of performance or efficiency, and go green at the same time. They're the reasons Nano Green Technology was awarded SEMI's 2009 Best of West award Wednesday (July 15) at SEMICON West, for its technology that replaces the traditional SC-1 or SC-2 etch-based cleaning process with a megasonics-based solution that relies on water. SST also takes a snapshot of the other Best of West finalists: Alchimer, Gore, IMEC, and K-Patents.

VLSI Forecast for Semiconductor Equipment

07/16/2009  Semiconductor equipment manufacturers posted a book-to-bill ratio of 1.01 in June, according to VLSI Research. It was the first increase above parity since July 2008. Although bookings and billings remain well below normal levels, business activity is beginning to improve. Back-end suppliers are seeing a considerable pick up in business activity amid soaring utilization rates at the subcontractors.

SEMATECH's 450mm progress, next steps

07/16/2009  ISMI provided SST with tidbits from its closed-door discussions on Wednesday centered on the 450mm transition: some supplier selections are complete and >60 are engaged for test wafers, which are slated to distribute in 3Q09.

NCCAVS preview: Msec-only anneal at 22nm?

07/16/2009  The main theme at this year's NCCAVS Junction Technology Group meeting will be 22nm junction, which many believe this will be the first node to use msec-only annealing (i.e. diffusionless), according to meeting chair John Borland, of J.O.B. Technologies. He also gives a quick recap of what's ahead at 16nm.

Double patterning's 22nm win for breakfast

07/16/2009  Franklin Kalk of Toppan Photomasks reports for SST from Wednesday's Sokudo Lithography Breakfast, which offered a buffet of double patterning views as the transition from single-exposure 193i closes in at 40nm half-pitch.

Sneak preview: LSA for sub-45nm devices

07/15/2009  Jeff Hebb of Ultratech talks about extending laser spike annealing to the 22nm node, the topic of a paper he's presenting at the NCCAVS Junction Technology Group meeting to be held Thursday, July 16 in San Francisco.

SEMICON West: MEMS key to leading-edge semiconductors?

07/15/2009  July 15, 2009: A new technology being bundled into lithography equipment supporting leading-edge semiconductor manufacturing utilizes MEMS functionality to push current lithography technology as far as it can go.

ASML fulfills "holistic litho" plan with two tools, custom packages

07/15/2009  Citing the embodiment of its concept of "holistic lithography," ASML has unwrapped two hardware/software components to help chipmakers improve lithography process windows while avoiding costly and timely steps and maintenance downtime.

Stepping up to the 3D challenge

07/15/2009  Soitec's president and CEO, André-Jacques Auberton-Hervé, discusses the three pillars of 3D integration at the wafer level, as well as bonding at room temperature. Also noted is Soitec's partnership with IBM, announced during SEMICON West.

Stepping up to the 3D challenge

07/15/2009  Soitec's president and CEO, André-Jacques Auberton-Hervé, discusses the three pillars of 3D integration at the wafer level, as well as bonding at room temperature. Also noted is Soitec's partnership with IBM, announced during SEMICON West.

3D integration: A status report

07/14/2009  3D IC technology, an alternative approach to wire-bonded chip stacking utilizing interconnets with through-silicon vias (TSVs) fabricated with front-end-like processes, is a hot topic at SEMICON West, and the focus of an on-line virtual forum hosted by public relations firm MCA.

3D integration: A status report

07/14/2009  3D IC technology, an alternative approach to wire-bonded chip stacking utilizing interconnets with through-silicon vias (TSVs) fabricated with front-end-like processes, is a hot topic at SEMICON West, and the focus of an on-line virtual forum hosted by public relations firm MCA.

EUV: "Turned the corner to inevitability"

07/14/2009  SEMATECH's Bryan Rice gives a positive assessment of EUV and offers hope for getting companies to open their checkbooks for mask inspection infrastructure funding. It's no longer a matter of "if" but "when," he says -- and see news below of an imminent 100W source.

KLA-Tencor lines up trio of 3X-2X inspection systems

07/14/2009  KLA-Tencor exec Brian Trafas explains what's under the hood of the company's three new tools for defect wafer inspection and review: the 2830, the Puma 9500, and eDR-5210.

Applied debuts "game-changing" wafer tracking system

07/13/2009  Applied Materials has revealed what it calls a "game-changer" for mature fabs: a hardware/software package that integrates with existing MES software to wirelessly track and direct movements for 200mm and 300mm wafer lots.

EV Group uncrates NIL stepper for micro-optics, nano R&D

07/13/2009  EV Group has unveiled a next-generation UV-nanoimprint lithography (NIL) step and repeat system eyeing use for microelectronics applications including optics/image sensors, lens arrays, and certain R&D nanoelectronics processes.

EV Group uncrates NIL stepper for micro-optics, nano R&D

07/13/2009  July 13, 2009: EV Group has unveiled a next-generation UV-nanoimprint lithography (NIL) step and repeat system eyeing use for microelectronics applications including optics/image sensors, lens arrays, and certain R&D nanoelectronics processes.

Cymer ships 75W LPP EUV tool to ASML

07/13/2009  July 13, 2009 - Cymer says it has delivered the world's first fully integrated laser produced plasma (LPP) EUV lithography source to ASML, achieving 75W of full-die exposure power, and says the system will hit 100W "within the current quarter," enabling 60 wafers/hr throughput.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts