Semiconductors

SEMICONDUCTORS ARTICLES



Selete refines e-CMP for global interconnect

06/10/2008  by Ed Korczynski, senior technical editor, Solid State Technology
Among highlights of this year's IITC was a renewed interest in novel "unit processes" for many applications. One highlight: Selete's work with Roki Techno on a hybrid electro-CMP technology to provide electrical contacts in a standard Accretech CMP tool, initially targeted at formation of global interconnects using Cu and low-k dielectrics.

Chartered: 2Q on track, profit factors will even out

06/10/2008  June 10, 2009 - Singapore foundry Chartered Semiconductor Manufacturing says its 2Q08 financials should come in just about as projected, with lower gross profits offset by a tax credit.

Freescale carves out MRAM biz

06/09/2008  June 9, 2008 - Freescale Semiconductor is spinning off its MRAM unit as a VC-backed independent company to expand its technology portfolio and accelerate its adoption in new applications

Analysts parse industry strengths, challenges, opportunities at SEMI breakfast

06/09/2008  by James Montgomery, News Editor, Solid State Technology
June 9, 2008 - A trio of semiconductor industry analysts presented their newest industry/macroeconomic analysis at a SEMI breakfast panel near Boston (June 4), generally agreeing that the IC industry isn't doing as badly as had been feared. Other topics addressed included capex trends and a coming "memory meltdown," concerns about long-term fab-lite models, IC makers vs. systems OEMs, and advice to suppliers about 450mm.

AMAT + ASMI: What's in it for both sides?

06/09/2008  by James Montgomery, News Editor, Solid State Technology
June 8, 2008 - Applied Materials has made a verbal unsolicited $400M-$500M offer to buy ASMI's atomic layer deposition (ALD) and plasma-enhanced chemical vapor deposition (PECVD) businesses. Gartner research VP Dean Freeman helps WaferNEWS brainstorm the most likely reasons behind AMAT's offer (and some unlikely-yet-interesting-but-good-luck-proving-it possibilities), and what it means for ASMI.

KLA Tencor announces Archer 200 overlay metrology system

06/05/2008  by M. David Levenson, Editor-in-Chief, Microlithography World
June 5, 2008 - Overlay specifications have narrowed dramatically as the semiconductor industry advances toward the 32nm node, requiring control of high-order grid and field distortions, as noted by the ITRS. Seeking to meet these new requirements is KLA-Tencor's new Archer 200, the latest version of the company's imaging overlay measurement tool.

SEMATECH Litho Forum: Steady progress on all fronts, surprise showing by e-beam

06/05/2008  by Debra Vogler, Senior Technical Editor, Solid State Technology
June 5, 2008 - With almost all major R&D lithography efforts reporting at SEMATECH's Litho Forum (May 12-14, Bolton Landing, NY), the common theme resonating throughout a debrief with forum co-chair Mike Lercel and program chair Bernie Roman was one of great progress made on many fronts, with a growing interest in maskless/e-beam lithography.

Replisaurus Acquires S.E.T.

06/05/2008  Replisaurus Technologies, Inc., pioneer in nanoscale electrodeposition of metal patterns, has acquired S.E.T. SAS to establish a production site for its integrated and fully automated high-volume manufacturing tools for its proprietary ElectroChemical Pattern Replication (ECPR) technology.

IMEC, Aixtron tout low-power GaN "milestone"

06/03/2008  June 3, 2008 - European R&D consortium IMEC and Aixtron say they achieved a "milestone" of growing AlGaN/GaN heterostructures on 200mm silicon wafers, a step toward fabricating low-cost GaN power devices for high-efficiency/high-power systems beyond silicon limits.

IITC shows the way to 3D

06/03/2008  by Ed Korczynski, senior technical editor, Solid State Technology
The 11th International Interconnect Technology Conference (IITC) is now underway in Burlingame, CA, presenting the leading-edge of on-chip interconnect technology developments with details on new materials, processes, and structures. 3D interconnects and through-silicon vias are being discussed in serious detail, while work continues on air-gap dielectrics and carbon nanotubes along with new copper barrier materials.

NVLS mid-Q: "Modestly low," not much change

06/03/2008  June 2, 2008 - Novellus Systems execs last week laid out their midquarter business views in an analyst presentation, saying business hasn't really changed much in the past two months, still "weak" but not as bad as historically worst-case. In general, signs still point to a rebound in foundry and memory investments later this year or 2009.

SIA: April chip sales flat

06/02/2008  June 2, 2008 - Worldwide semiconductor sales were about flat in April vs. March levels, at $21.2B (+0.4%), and up 5.9% from a year ago, results that "are in line with historical industry patterns," noted the Semiconductor Industry Association (SIA), in a statement.

SEMICON West 2008: Crossing Boundaries

05/30/2008  By Francoise von Trapp, managing editor Driven by end-system-level design, the next era of electronics will call for the integration of processes across the supply chain, from the chip to the board. This concept is the motivator for the programs at SEMICON West 2008, held July 14-17 at the Moscone Center, San Francisco, which will focus more on back-end processes than ever before.

Fiber-based Industrial Laser

05/30/2008  With over 18 watts of average power at a pulse repetition rate of 200 kHz (pulsewidth < 15 ps), the Talisker, a fiber-based laser from Coherent, enables precision micromachining at high throughput rates with a negligible heat affected zone (HAZ). And with a choice of infrared (1064 nm), visible (532 nm) or ultraviolet (355 nm) output, it can be used on virtually any material type, including metals, polymers, glass and semiconductors.

Analysts: DRAM, NAND outlook stable; look for B2S build as next beacon

05/29/2008  May 29, 2008 - Fundamentals in the memory industry are stable and "incrementally improving," with DRAM prices rising again and NAND flash demand seen improving in general, according to analyst firm FBR Research. The next big market indicator is rapidly approaching, in the late-June timeframe with an update of devicemakers' back-to-school build rate schedules.

Determining the Right Wafer Bumping Solution

05/29/2008  By M.K. Chew and H.G. Su, Unisem-Advanpack Technologies
Wafer bumping is fast becoming the interconnect of choice for wafer level chip scale packages (WLCSPs). This migration is primarily driven by applications like power management devices, ASIC and memory chips, display drivers, Internet routers, microcontrollers, D/A converters, and RF devices that are transitioning from wire bond plastic encapsulated units to flip chips and WLSCPs.

Qcept teams With CEA-Leti on semi process development

05/29/2008  May 28, 2008 -- /PRNewswire/ -- ATLANTA, GA -- Qcept and CEA-Leti will investigate potential new techniques for characterizing a variety of leading-edge semiconductor materials and processes, including high-k and low-k dielectrics, atomic layer deposition (ALD), fully silicided (FUSI) metal gates, and advanced cleaning technologies.

Novellus launches suite of dry strip and clean systems

05/29/2008  May 19, 2008 -- /PRNewswire/ SAN JOSE, CA -- Novellus Systems today announced two new industry-leading dry strip and clean systems, each targeting different photoresist removal requirements at fabs and foundries worldwide.

Analysts: Good, bad news with IMFT's 34nm flash debut

05/29/2008  May 29, 2008 - Intel and Micron's announcement that they have developed a 34nm NAND flash device could give the companies a better cost/profit profile vs. competitors, and/or help stretch an already painful oversupply situation well into the next year, according to a report from Objective Analysis. Meanwhile, Gartner notes that the two need to make some related capacity decisions soon if they want to leverage their short-lived technology lead on the competition.

"Long nanotube" developer Nanocomp reveals safety procedures

05/29/2008  May 29, 2008 -- A study published last week by Nature Nanotechnology tells of research findings that long carbon nanotubes injected into mice can behave like asbestos fibers, forming lesions that may lead to cancer. Small Times' Barbara Goode visited with Nanocomp Technologies, known for producing long, highly pure carbon nanotubes, to get a producer's take on the story.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts