Semiconductors

SEMICONDUCTORS ARTICLES



Gauda harnesses graphical processor units for OPC

02/26/2008  by M. David Levenson, Editor-in-Chief, Microlithography World
Feb. 26, 2008 - Have you ever wished that computational lithography could be more like a videogame? Gauda, a Sunnyvale, CA, startup decloaking at this week's SPIE's Advanced Lithography Symposium is offering to make it so, at least for optical proximity correction and verification (OPC and OPV).

SPIE NEWS: SEMATECH, Carl Zeiss finalize design for DP photomask metrology system

02/26/2008  Feb. 26, 2008 - Carl Zeiss and SEMATECH say they have completed final design for a next-generation photomask registration and overlay metrology system, dubbed "Prove," that will enable production of advanced photomasks "with substantially improved image placement accuracy," eyeing in particular the tighter placement control required for double-patterning technology.

SPIE NEWS: Gigaphoton opens US office, squaring off on Cymer's turf

02/26/2008  Feb. 26, 2008 - The war between two rival international lithography source providers has reached US shores. Gigaphoton says it is expanding its presence in the US with a new subsidiary in Beaverton, OR, following recent customer wins in the US region that represents one-fifth of the worldwide market.

SPIE NEWS: HamaTech, SEMATECH tout EUV mask blank cleaning work

02/26/2008  Feb. 26, 2008 - HamaTech says its advanced modular processing platform, MaskTrack, has achieved "all critical SEMATECH roadmap milestones" for cleaning EUV mask blanks, with demonstrated successful removal of all particles at 30nm and greater, as well as "a number of" 10nm defects, seen as necessary for 22nm semiconductor manufacturing processes.

NEWS ANALYSIS: Toshiba expands MCMs

02/25/2008  Feb. 25, 2008 - Toshiba America Electronic Components says it has expanded its family of power multi-chip modules (MCM) with a synchronous step-down converter switching module, targeting applications such as mobile computers, servers, and network equipment.

Molecular Imprints announces 4WPH step-and-flash imprint tool

02/25/2008  by M. David Levenson, Editor-in-Chief, Microlithography World
Feb. 25, 2008 - Molecular Imprints CEO Mark Melliar-Smith tells WaferNEWS why its Imprio 300 imprint lithography tool is the "only game in town" for semiconductor prototyping and process development in the <30nm realm, capable of printing 32nm, 28nm and 22nm features at 4WPH with 35nm overlay.

Dow Corning taking collaborative route to photoresist goals

02/25/2008  by James Montgomery, News Editor, Solid State Technology
Feb. 25, 2008 - Dow Corning exec Jeff Bremmer talks with WaferNEWS about how his company has turned to a new business model, development partnerships with litho materials suppliers, for its push into the world of photoresist resins.

Brion powers up to meet DPT challenges at 32nm-22nm

02/25/2008  by Debra Vogler, Senior Technical Editor, Solid State Technology
Feb. 25, 2008 - At the opening of this week's SPIE Advanced Lithography Symposium, Brion Technologies unveiled a more powerful version of its Tachyon Computational Lithography platform, and the release of Tachyon DPT, software that allows chipmakers to meet the low k1 requirements for memory and logic devices at 32nm and below.

Colybris orders MEMS production systems from EV Group

02/25/2008  EV Group, which supplies MEMS and nanotech manufacturing equipment has received a multiple system order from Colibrys, a Swiss MEMS sensor supplier.

Steinmeyer's new manipulator stage targets nano, micro

02/25/2008  Steinmeyer Inc.'s new high precision micro manipulator stage, MT 130-50-DC is geared to nanotechnology, metrology, biomedical, and robotics applications.

SEMI: Chip equipment demand still soft, but balance improving

02/23/2008  Feb. 22, 2008 - Demand for semiconductor manufacturing equipment is still below levels from early 2007, reflecting what chipmakers have been saying about a soft investment environment, but the numbers are showing slow improvement from their lowest points in 2007, according to data from SEMI.

Antares Advanced Test, IC Test Lab Partner on DUT-level Qual Burn-in

02/22/2008  ; Antares Advanced Test Technologies and an undisclosed IC test lab in the Silicon Valley have partnered to configure a burn-in chamber at the test lab with Antares' iSocket thermal-management technology to allow semiconductor engineers in the region to conduct off-site qualification burn-in of 50W devices and below with individual DUT-level temperature controls.

KLA-Tencor scoops up ICOS for backend, LCD/solar play

02/21/2008  Feb. 21, 2008 - The latest move in metrology industry consolidation has occurred with KLA-Tencor's proposed "friendly" acquisition of Belgium firm ICOS Vision Systems in a proposed €316.9M (US $465.8M) cash transaction.

Tracking the future of TSV

02/21/2008  by Ed Korczynski, Senior Technical Editor, Solid State Technology
A new report from TechSearch International forecasts millions of silicon wafers will be made with through-silicon vias (TSV) in the year 2014. With TSV technology now moving past the feasibility (R&D) phase and into the commercialization phase, the question isn't whether this 3D interconnect will be adopted, but how soon it will balance cost/performance vs. existing technologies to break into real mainstream use.

Rudolph Joins Leading Chipmakers in SEMATECH's Metrology Program

02/21/2008  ; Rudolph Technologies Inc. and SEMATECH, have announced that Rudolph has become the first semiconductor equipment supplier company to join SEMATECH's Metrology Program headquartered at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany.

Toshiba, Sony finalize chip JV details

02/20/2008  Feb. 20, 2008 - Sony and Toshiba are disclosing more details about their semiconductor JV, including PlayStation chips, following preliminary agreements last fall.

Updated: Nikon's double-patterning tool due by 4Q08

02/20/2008  Feb. 20, 2008 - Nikon Corp. says it will have an "enhanced" version of its NSR-S610C ArF immersion scanner, optimized for double-patterning lithography process, ready for customers by 4Q08, billing the upgrade as a "low-risk solution" for developing double-patterning technology for use at the 32nm node.

Rudolph, SEMATECH, Albany forge process characterization pact

02/20/2008  Feb. 20, 2008 - Rudolph Technologies and SEMATECH are establishing an international process characterization program to develop process, analysis, and characterization technology targeting 32nm and beyond semiconductor manufacturing. Work will be headquartered at the U. of Albany's College of Nanoscale Science and Engineering (CNSE). Rudolph says it is the first semiconductor equipment supplier to join SEMATECH's metrology program at Albany.

SEAJ: Chip tool demand slips in January

02/20/2008  Feb. 20, 2008 - New data from SEMI and the Semiconductor Equipment Association of Japan (SEAJ) fuels continued concerns of a soft investment climate among global chipmakers.

Complex Integration Spurs Growth in Interconnect Materials Market

02/20/2008  ; Techcet Group LLC reports the market for interconnect metals and dielectric materials, totaling $350 million in 2007, is maintaining its lead as the highest growth area compared to other semiconductor process materials. The latest edition of the Techcet report "Advanced Interconnect Materials for the 65 through 32nm Nodes" discusses the materials used and likely to be used in subsequent technology generations for logic and memory products.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts