Semiconductors

SEMICONDUCTORS ARTICLES



VUV metrology sees ultra-thin films

07/24/2007  Spectroscopic reflectometers (SR) and ellipsometers (SE) provide thickness and composition information for thin dielectric films. As the industry has moved to ever thinner films, there is less material to refract light and SE signals drop off. Metrosol, one of last year's SEMICON West Technology Innovation Showcase winners, is ready to make a splash, providing vacuum-ultraviolet (VUV) SR tools that can resolve information from extremely thin films.

Asia-Pacific IC suppliers marketshare to reach 32 percent in 2011

07/24/2007  July 12, 2007 -- /Report by IC Insights/ -- SCOTTSDALE, AZ -- After reaching a high of 51 percent in 1988, the Japanese IC suppliers have displayed a steady decline in marketshare.

CEA/Leti-Minatec and Alcatel to co-develop 3D interconnect processes

07/24/2007  CEA/Leti-Minatec, a European R&D institute specializing in advanced microelectronics, and Alcatel Micro Machining Systems, manufacturer of deep reactive ion etch (DRIE) equipment for the MEMS and semiconductor industries, will collaborate to develop industrial process capability in DRIE and LTPECVD for 3D interconnect applications.

IMEC reports progress in advanced litho program

07/24/2007  July 16, 2007 -- SAN FRANCISCO, CA -- One year after shifting the objective of its lithography program to the 32 nm half-pitch node, IMEC reports progress on high-index 193 nm immersion lithography, double-patterning schemes for 193 nm water-based immersion lithography and extreme UV (EUV) lithography.

API Nanotronics acquires NanoOpto's assets

07/24/2007  API Nanotronics Corp. has acquired all assets of NanoOpto Corp., a nanotechnology pioneer specializing in processes for optical components. In 2006, Lux Research named NanoOpto as the #1 nanotech company for partnership value.

SEMI: June sales typical 2Q end; bookings still soft

07/23/2007  July 23, 2007 - The latest monthly data from SEMI indicates soft demand at the midpoint of 2007, days after the group joined the growing chorus of industry watchers in expressing pessimism that the equipment industry will se anything above a few percentage points of growth this year.

CEA Installs Alcatel Systems for TSVs

07/23/2007  Alcatel Micro Machining Systems will provide two 200-mm DRIE and LTPE CVD systems at CEO/Léti-Minatec R&D institute under a joint development agreement. The parties will collaborate to develop and demonstrate a suite of turnkey silicon microvia technologies for 3D integration at wafer and die levels.

IBM, SUSS ramp C4NP pilot line

07/20/2007  July 20, 2007 - IBM is now ramping pilot production of its first controlled collapse chip connection new process (C4NP) production line in East Fishkill, NY, just over a year after completing initial reliability testing for 300mm C4NP solder-bumped wafers.

Lam's C3 linear cleaner for ultra-thin films

07/20/2007  Lam Research Corp. has finally revealed some of the details of the technology inside its new linear atmospheric cleaner, more than a year after dropping hints at SEMICON China in March 2006. The system targets high-selectivity cleans with short contact times

SEMICON WEST REPORT: Keynotes tap into promises, challenges of solar

07/20/2007  A pair of Wednesday keynotes at SEMICON West described opportunities for semiconductor suppliers in the solar energy market, but cautioned that there are fundamentally different manufacturing requirements in the two industries.

ST/Intel flash JV dubbed "Numonyx"

07/20/2007  July 20, 2007 - The proposed flash memory JV combining Intel and STMicroelectronics assets is still being hashed out in regulatory red tape, but it already has a new name: "Numonyx," a play on the word "mnemonics" (the art of assisting or improving memory), according to the companies.

Big push coming on two routes to 3D

07/20/2007  3D chip packaging with through-silicon vias (TSV) will sweep across the industry over the next 3-5 years, based on presentations and discussions at SEMICON West. Using TSVs will enable very compact packaging offering much better performance. Many chipmaker roadmaps include 3D as an interim step from the 45nm to the 32nm node, sources said.

AMS uncrates metrology systems for 3D, Cu/low-k stacks

07/20/2007  July 19, 2007 - Advanced Metrology Systems (AMS, formerly Philips AMS) has released three new metrology tools this week, offering model-based infrared (MBIR) metrology for 3D DRAM structures, and a surface wave system for multilayer measurements of copper/low-k films stacks.

Entegris rolls out filters, reticle haze add-on

07/20/2007  July 19, 2007 - Amid a batch of product rollouts this week, Entegris Inc. has unveiled a new line of high-flow liquid filters for sub-45nm contamination control, and an add-on to its 193nm litho reticle haze tool targeting deep-ultraviolet lithography.

Carl Zeiss lens ready in ASML's 1900i immersion litho tools

07/20/2007  July 19, 2007 - Carl Zeiss SMT says more than 10 of its Starlith 1900i immersion lithography optical lenses have been qualified for integration into ASML's Twinscan XT:1900i wafer scanners, with one tool already shipped to an end user.

SEMI names 2007 standards award recipients

07/19/2007  July 19, 2007 - A handful of industry executives were recognized this week by SEMI for contributions to standards development for the semiconductor and related industries.

SUSS tips high-res digital microscope

07/19/2007  July 19, 2007 - SUSS MicroTec AG has introduced the iVista high-resolution digital microscope targeting wafer-level testing applications such as device characterization, reliability test and failure analysis.

Materials establishing firm foothold in advanced packaging

07/19/2007  At Wednesday's (July 18) Packaging Materials Trends TechXPOT, sponsored by IMAPS, industry experts shared insights and developments in packaging materials and applications, and how innovations will help device packaging address functionality, form factor, and reliability challenges.

SEMICON WEST REPORT: The transistor is cool again

07/19/2007  The main topics for discussion at Applied Materials' press event on Tuesday were about high-k/metal gate processes (HK+MG) and double patterning lithography, though much of the talks concentrated on the gate technology -- and how they created the delicately etched iceblocks serving as table centerpieces.

SST names ACA recipients for CoO, innovation, problem-solving

07/19/2007  Last night SST named the winners of our fifth annual Attendees Choice Awards at SEMICON West, spotlighting companies' technologies on both the wafer processing and final manufacturing sides of the fab that customers voted as the industry's best in terms of innovation, cost-of-ownership, and best solution to a problem. Congrats to our six winners: Olympus Micro-Imaging, FEI, Olympus Integrated Technologies America, SUSS MicroTec, Tessera, and FormFactor.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts