Semiconductors

SEMICONDUCTORS ARTICLES



Wafer Shipments to Climb 2% in '05

10/12/2005  San Jose, Calif. — Leading silicon wafer suppliers predict that 2005's year-end wafer shipments will be 2% higher than 2004's. Also, total wafer shipments will increase by about 7% in 2006, according to the SEMI Silicon Manufacturers Group (SMG) Consensus Forecast. By surveying SMG members, the Consensus Forecast provides a silicon wafer shipment outlook for 2005 through 2008.

Report: Austin has inside track on new Samsung 300mm fab

10/11/2005  October 11, 2005 - Samsung Electronics could be on the verge of naming Austin, TX, as the home for its new $3.3 billion 300mm chip manufacturing site in the US.

Report: Korea trade group eyeing Samsung/Apple flash deal

10/11/2005  October 11, 2005 - Samsung Electronics may face scrutiny from South Korea's Fair Trade Commission (FTC) for an alleged sweetheart deal to supply NAND flash memory to Apple Computer for its iPod nano.

Wafer shipments forecast to grow 2% in 2005, 7% in 2006

10/11/2005  October 11, 2005 - The leading suppliers of silicon wafers forecast year-end wafer shipments for 2005 to be 2% higher than 2004 shipments. According to SEMI's Silicon Manufacturers Group (SMG) Consensus Forecast, total wafer shipments will increase by about 7% in 2006. The Consensus Forecast, obtained through surveying SMG members, provides a silicon wafer shipment outlook for 2005 through 2008.

Oki Electric develops transistor that reduces power consumption by >90%

10/07/2005  October 7, 2005 - Oki Electric Industry Co. Ltd. has announced the development of SOI (Silicon on Insulator)-CMOS, a new device structure for super low off-leakage current. While maintaining the speed of performance of previous devices, this transistor reduces the standby consumption current (off-leak current) by over 90% compared to previous transistors. Oki claims to be the first company to develop a fully depleted SOI transistor using a non-doped body and non-overlap type SOI structure.

PennWell Acquires Small Times Magazine

10/06/2005  Tulsa, Okla. — PennWell Corporation announces the acquisition of Small Times magazine, a business publication covering the fast-emerging nanotechnology, MEMS and microsystems markets; rounding out the publications of the Advanced Technology Division, headquartered in Nashua, N.H.

SMIC, Luminescent enter JDP on 65nm and below nodes

10/06/2005  October 6, 2005 - Semiconductor Manufacturing International Corporation (SMIC), a Chinese semiconductor foundry, and Luminescent Technologies Inc., a provider of lithography enhancement systems, have announced a joint development program to evaluate Luminescent's inverse lithography technology (ILT) products in SMIC's production environment for its 65nm and below process nodes.

SEMATECH, Synopsys to develop OPC models for 45nm immersion litho

10/05/2005  October 5, 2005 - Synopsys Inc. and SEMATECH will jointly develop advanced optical proximity correction (OPC) models for the extension of optical lithography. A key goal of the program, part of SEMATECH's 193nm Immersion Lithography Extendibility Project, is to facilitate better understanding of the challenges in process nodes beyond 45nm so participants can develop appropriate software and manufacturing processes. Synopsys will provide its Proteus mask synthesis stoftware.

Litho-aware design inspection solution improves device yield

10/05/2005  October 5, 2005 - KLA-Tencor has formally unveiled DesignScan, the industry's first full-chip process window inspection system for post-RET (resolution enhancement technology) reticle design layout inspection. DesignScan enables chipmakers to reduce the number of mask design respins needed to achieve a high-yielding design, resulting in better parametric design performance and faster time-to-market.

Cimetrix acquires EFS Solutions for $1.25M

10/05/2005  October 5, 2005 - Cimetrix Inc., which designs and develops factory automation software, has announced it has completed the acquisition of EFS Solutions Inc., a privately-held engineering services firm specializing in yield and manufacturing data management. Cimetrix paid approximately $1.25M, including $640,000 in stock and $614,000 in cash.

Brion, Crolles2 alliance partners to develop litho process window apps

10/03/2005  October 3, 2005 - Brion Technologies has announced a joint development agreement with STMicroelectronics, Philips Semiconductors, and Freescale Semiconductor, R&D partners in the Crolles2 Alliance. Brion has delivered RET/OPC process window-enabled applications that run on the company's hardware-accelerated Tachyon platform.

August's Global Semiconductor Sales Hit $18.6B

10/03/2005  San Jose, Calif. — August's Worldwide semiconductor sales made a sharp increase to $18.6 billion, a 3.2% increase from the $18.0 billion reported in July and a 1.7% increase from the $18.3 billion reported in August 2004, reports the Semiconductor Industry Association (SIA). Year-to-date semiconductor sales through August, at $144.4 billion, are up by 5.8% over the same period of 2004 when total sales amounted to $136.5 billion, according to the SIA.

In the News

10/01/2005 

Contamination-control issues far-reaching with new lab-on-a-chip developments

10/01/2005  The potential for in-body and out-of-body applications for lab-on-a-chip technology is raising two entirely different kinds of contamination-control issues.

Infineon, Nanya extend DRAM pact

09/29/2005  September 29, 2005 - Infineon Technologies AG and Taiwan's Nanya Technology Corp. have expanded their existing 90-70nm/300mm DRAM technology development agreement to include 60nm process technologies.

IBM, Applied, Albany NanoTech forge $300M pact

09/29/2005  September 29, 2005 - IBM Corp., Applied Materials, and Albany NanoTech in New York have agreed to begin a five-year, $300 million R&D and economic outreach program, bringing in more than 100 engineers to work on six areas of next-generation chip technology: 300mm epitaxial substrates, ultralow-k dielectrics, atomic-layer deposition, chemical-mechanical polishing, copper plating, and ultrafine ion implantation technology for nanoscale semiconductor devices (e.g. ultrashallow junctions).




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts