Issue



Product News


08/01/2010







SEMICON West exhibits preview

Here are just a few of the new products we tracked at this year's SEMICON West. For more, go to  www.electroiq.com/index/Semiconductors/semiconwest2010.html.

Multiwafer ALD system

The QXP-8300 multiwafer system is the next generation ALD product for dielectric and metal films—e.g., conformal high-k dielectrics, as well as metal electrodes and gate metal. The company claims >40% less precursor consumption compared to other ALD systems. Aixtron, Herzogenrath, Germany; +49/241-8909-0, www.aixtron.com.

High-aspect-ratio TSVs

AquiVia Fill is a high-purity, copper-plating chemistry designed for filling high-aspect- ratio through-silicon vias (TSVs) with diameters <5µm. In combination with AquiVia XS, AquiVia Fill also extends chipmakers' ability to shorten the metallization process, and slashes overall cost of ownership compared to traditional metallization processes. Alchimer, Massy, France; +33/1-6975-4343, www.alchimer.com.

Aligner wafer bonder

Click to Enlarge

The FAB12 automated cassette-cassette aligner wafer bonder adds automated wafer handling to the in-situ wafer bonding platform (align and bond in a single chamber). Target applications include MEMS, IC, WLP, and 3D integration applications. Applied Microengineering, Didcot, Oxfordshire, UK; +44/-0-1235-833934, www.aml.co.uk.

Wafer transport solution

A new wafer transport solution offers new levels of control, precision, and throughput for complex wafer level packaging processes. A specialized precision wafer pallet allows for exceptional wafer flatness of wafers as thin as 75µm and as large as 300mm in diameter. DEK, Weymouth, UK; +44/1305-760760, www.dek.com.

Vacuum pump

The iXL120, designed for load lock and other clean applications, offers peak pumping speed of 110m3/h, 22% faster than any other pump in its class (20 liter chamber), and its 550W average energy consumption is one of the lowest in its class, the company says. Its small size and weight allows it to be mounted on the process tool or within the waffle floor. Edwards, West Sussex, UK; +44/0-8459-212223, www.edwardsvacuum.com.

Semi-automated bond alignment

The EVG610 desktop unit targets R&D and small-scale production needs in MEMS/MST markets. Features include a semi-automated alignment stage, fully motorized high resolution bottom side microscopes, and IR alignment for inner substrate key alignment. EV Group, St. Florian, Austria; +43/7712-5311-0, www.evgroup.com.

Cartridge filters

New cartridge filters for ultrapure and de-ionized water offer 3× the flow rate of best-in-class filters without sacrificing particle retention, the company says. They also can provide an alternative to ultrafiltration (UF) modules. WL Gore & Associates, Elkton, MD; 410/506-5556, www.gore.com.

Reticle storage pod opener

The RSP opener is an alternative to open/close standard reticle SMIF pods vs. auto RSP openers). The unit includes a lid storage area and a mask pick holder, as well as a handy mask pick holder. H-Square, Santa Clara, CA; 408/732-1240, www.h-square.com.

Wafer backside coating for stacked die

The Ablestik WBC-8901UV material offers an alternative to film-based solutions for die stacking processes. In combination with new spray coating technology, the material delivers a precise wafer coating as thin as 10µm with a total thickness variation across the wafer of +/-10% and <20% material waste, the company says. Henkel, Irvine, CA; 949/789-2500, www.henkel.com/electronics.

E-beam defect review, reticle inspection, overlay metrology

Click to Enlarge

The eDR5210S is an updated e-beam defect review and classification system offering high resolution, stage accuracy, new algorithms, and access to proprietary data about mask orientation and defect characteristics. The TeraFabHT reticle defect inspection system features improvements to its laser, sensor, optical path, and signal processing algorithms. And the Archer 300 LCM provides high-performance overlay measurement capability for 2Xnm logic and 1Xnm half-pitch memory devices, with improvements to the optical subsystems and new in-field overlay metrology capability. KLA-Tencor, Milpitas, CA; 408/875-3000, www.kla-tencor.com.

Ultrasonic DSP flowmeter

The LeviFlow ultrapure ultrasonic flowmeter is designed for non-invasive high-precision flow measurements of high purity fluids from 1ml to 80l/min. Features include high accuracy (1% of reading) and repeatability (≤ 0.5%), improved bubble robustness (a digital signal processor handles the sensor signals), and high-precision flow control. Levitronix, Waltham, MA; 781-622-5070, www.levitronix.com.

Residual gas analyzers

The Microvision 2 and e-Vision 2 Web-enabled residual gas analyzers offer greater stability and reliability in e-diagnostic, process monitoring and general vacuum trouble-shooting applications. MKS Instruments, Andover, MA; 978/645-5500, www.mksinst.com.

Bond tester

The 4000Plus can perform a wide range of shear and pull applications as well as new push functionality up to 50kg, suitable for the full spectrum of traditional bond tests as well as emerging test applications including ribbon pull, new hot bump pull and fatigue. Nordson DAGE, Aylesbury, UK; +44/(0)-1296-317800, www.nordsondage.com.

Wafer handler

The Olympus AL120 wafer handling system transfers wafers with thicknesses down to 90µm, with 360° rotation for full macro examination of the wafer's back surface and edges. Olympus America, Center Valley, PA; 484/896-5213, www.olympusamerica.com.

IR thermometer for annealing temps

The OS1592 infrared fiber-optic thermometer/transmitter is for hard-to-reach small areas and polymer bolt applications in semiconductor manufacturing to monitor the temperature of the wafer during electrical test and other manufacturing processes, as well as metal annealing to monitor the temperature of annealing process. Omega Engineering, Stamford, CT; 203/359-1660, www.omega.com.

Reducing waste in wafer processing

The CoreTegral framework helps manufacturing facilities develop an open, maintainable system for centralized integration and automation of process equipment in an entire facility, irrespective of the equipment's age or manufacturer. Savantech, Livingston, UK; +44/0-1506-592-273, www.savantech.co.uk.

Test for power semiconductors

The Comptest MX Platform has been enhanced with specific instrumentation for the production test of power devices, to force high current/voltage while performing multi-site test, with the additional possibility to integrate test and automation. It can perform the test at wafer or package level, combining static and dynamic test capabilities. SPEA, Volpiano, Italy; +39/011/98-25-400, www.spea.com.

Mask aligner for HB-LEDs; semiautomatic MEMS bonding

The MA100e mask aligner processes wafers up to 4-in. diameter with up to 145 wafers/hour throughput, and proximity exposure for high resolution down to 0.7µm. The semi-automatic CB200M features bond forces up to 90kN and temperatures to 500°C, ideal for advanced MEMS, 3D stacking and LED bonding applications. Suss Microtec, Garching, Germany; 49/89-32007-0, www.suss.com.

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles