Issue



Product News


06/01/2010







Versatile SEM/FIB

Click to Enlarge

The Helios NanoLab x50 DualBeam series integrates an extreme high-resolution scanning electron microscope (SEM) with a new high-performance focused ion beam (FIB) for applications in failure analysis, 3D nanoscale characterization and prototyping, sample preparation, and other analytical techniques. Features in the 450S version include live monitoring of milled operations, smaller FIB spot for more precise milling control, and higher beam currents for faster material removal on large structures, such as through-silicon vias (TSVs). Overall throughput of advanced TEM lamella preparation has been improved by 40%. A "650" version with subnanometer resolution targets academic and industrial research centers with a wider range of data to better understand material characteristics. FEI, Hillsboro, OR; 503/726/7500,  www.fei.com.

High-speed rotation stage

Click to Enlarge

The URB100CC high-speed belt-driven rotation stage targets motion applications that require fast moves (720°/sec maximum speed) over larger angles or continuous rotation at very high duty cycles, such as wafer film thickness measurements. A two-piece design, 4-point contact ball bearing provides rigidity and high reliability (MTBS 20,000h @ 25% load and 30% duty cycle) while minimizing wobble (50µrad) and eccentricity (3µm). Position feedback is provided by a motor-mounted 2000-point rotary encoder with 0.01° resolution. Newport, Irvine, CA; 949/863-3144,  www.newport.com.

ArF, KrF excimer lasers

Click to Enlarge

The IndyStar 2kHz lasers come in two versions, 193nm (ArF, 8W output) and 248nm (KrF, 12W output). They feature two new stabilization capabilities: "Powerlok" ensures constant pulse energy for each single laser pulse (durations 3-5ns), stabilized for applications using bursts or pulses or specified pulse trains, while "Timelok" offers trigger-to-pulse delay stability to support tight synchronization with other equipment. Tube/optics lifetime for the 193nm version exceeds 10 billion laser pulses, translating to hands-free operation of more than a year. Target applications for the SEMI-S2 certified lasers include semiconductor fabrication (e.g., inspection of photomasks and optics) and high-speed materials processing such as precision material ablation. Coherent, Göttingen, Germany; +49/551-6938-344,  www.coherent.com.

Flip-chip at SMT speeds

Click to Enlarge

The GenesisSC platform is tailored to precisely place dies and passives in applications such as system-in-package (SiP), flip-chip assembly, and flip-chip on flex. It includes the base platform's "variable reluctance motor" technology; coupled with high-precision multispindle placements heads enables placement down to ±10µm @ Cp>1. Paired with the company's Innova Direct Die Feeder, the platform directly presents die from 300mm wafers to the platform machine without packaging charges. An onboard "linear thin film applicator" provides dipping capabilities for paste or flux, as commonly associated with PQFN and package-on-package applications. Universal Instruments, Conklin, NY; 607/779-7522,  www.uic.com.

DDR2 physical and protocol layer characterization

The N5413B test application and B4623A bus decoder and B4622A DDR protocol compliance tool offer a comprehensive low-power DDR2 compliance and protocol test application package, providing LPDDR2 physical-layer compliance measurements, debug, and protocol compliance measurements. The N5413B (used with the company's Infiniium series oscilloscopes) provides automated testing capability for all clock, electrical and timing tests, with 100% test coverage. The B4622A protocol tool and the B4623A bus decoder (used with Agilent's 16900 Series logic analyzer modules) enables engineers to analyze the logical flow of LPDDR2 bus traffic and execute protocol compliance tests. Agilent Technologies, Santa Clara, CA; 408/345-8886,  www.agilent.com.

Process control for batches

The SIMCA batch online 3.4 software provides multivariate statistical process control and batch statistical process control (BSPC) for batch processes. It supports alarms based on results, including visualization and export of triggered alarms. A new "Notes" feature enables annotations functionality for process diary. A dedicated server performs calculations in real-time and sends results to the client for visualization; results can also be sent back to the historian for further communication to SCADA or DCS systems. Umetrics, Malmö, Sweden; +46/73-684-2591,  www.umetrics.com.

Ultralow-flow MFCs

Alicat's ultralow-flow (0.5-50 SCCM) mass flow controllers are now being offered for semiconductor manufacturing: CVD, PVD, thin-film, sputtering, and backside wafer cooling. Capabilities are 1%-100% full scale control range and <100ms control response time with 1% accuracy. Devices are NIST and CE certified, with standard 14 gas user selectable calibration and small footprint. Customizable components are available including totalizer function and a variety of fittings. Alicat Scientific, Tuscon, AZ; 520/290-6060,  www.alicatscientific.com.

Mask etcher

The MLS ("Manual Load System" allows photomask manufacturers to smoothly transition from wet etch capabilities to dry etch at 250nm-180nm nodes, with upgradability down to 90nm. Plasma-Therm, St. Petersburg, FL; 727/577-4999,  www.plasmatherm.com.

Timing belt drive

Click to Enlarge

The model AZ1040 drive features a one-piece aluminum profile extrusion which serves as the belt housing, permitting longer, sag-free stroke lengths without necessitating scaling up to a larger size drive. A crisscross structural pattern further increases sag resistance and overall durability. T-slots and receiver grooves for the load carriage guide bars are incorporated into the profile design. Belt pulleys are built-in to the end caps, as are T-slots to provide more options for mounting and integration with other assembly components. Maximum travel speed is up to 5.0 m/s depending on the weight of the payload; repeatability is ±0.2mm over travel spans of up to 2,000mm. Amacoil, Aston, PA; 610/485-8300,  [email protected]www.amacoil.com.

Matrix card

Click to Enlarge

The Model 3732 quad 4x28 ultrahigh-density reed relay matrix card offers 448 single-pole matrix crosspoints for automated switch and measure applications requiring multiple instrument connections, high crosspoint density, and high speed -- e.g., low-level contact resistance testing of high-speed memory interface boards or next generation IC sockets. The dry reed relays offer both low contact potential and low current offset to minimize switching errors; switching capability is up to 200V at carry currents up to 1.2A, with switching speed of 0.6ms, rated for >109 no-load operations. Keithley Instruments, Cleveland, OH; 440/248-0400,  www.keithley.com.

Lead-free solder paste

The Multicore LF620 lead-free solder paste has a broad process window for printing, reflow and humidity resistance, with minimal hot slump even in regions with 30°C (86°F) temperature and >80% relative humidity (RH). Features include "extremely low voiding" in CSP via-in-pad joints, "good" coalescence and "excellent" solderability over surface finishes ranging including Ni/Au, Immersion Sn, Immersion Ag and OSP copper. Henkel, Irvine, CA; 949-789-2500,  www.henkel.com/electronics.

Vibration-damping breadboards

Click to Enlarge

These breadboards provide a flat, stable surface for a variety of applications, including mounting or assembling lasers, small optical systems, prototyping, and precise inspections, available in four standard configurations. Features include high natural frequency, nominal broadband damping, flatness of ±0.004" over a 24"x24" area, and tapped mounting holes sealed off in rows to channel liquids away. Kinetic Systems, Boston, MA; 617/522-8700,  www.kineticsystems.com.

Robust turbopump

The HiPace 60 turbopump has an improved rotor design that enables high pumping speeds (for Ar/CF4/H2/He/N2: 63/51/28/48/64 l/s) and high gas throughputs (for Ar/H2/He/N2: 0.83/55/55/9.2 mbar l/s). Innovative materials double the drives' service life, and runup time has been reduced to 1.1min. Remote and sensor functionalities enable pump data such as temperatures to be analyzed. Integrated drive electronics reduce the need for cabling. Its surface design offers significantly improved cooling (convection cooling is standard; air/water is optional). A sealing gas connection safeguards the bearings against particulate matter or oxidizing gases. Pfeiffer Vacuum, Asslar, Germany; +49(0)/6441-802-169,  www.pfeiffer-vacuum.de.

Antireflective coatings for KrF, ArF

The ARC DS-K101P developer-soluble bottom anti-reflective coating (DBARC) targets <150nm CD processes for implant and a viable cost reduction for BEOL layers at the 22nm and beyond nodes. It has <1ppb ions and offers "tight" n and k optical constants; developer solubility can improve throughput by eliminating an etch step, the company claims. The ARC 29L ArF immersion bottom antireflective coating (BARC) also provides broad photoresist compatibility and tuned optical properties (i.e., a lower k value, and <0.1% reflectivity for <40nm-thick films) to enable improved reflectivity control on absorbing substrates (e.g., SiON, TiN, SiN). Brewer Science, Rolla, MO; 573/364-0300,  www.brewerscience.com.

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles