Issue



World News


04/01/2010







BUSINESS TRENDS

Inside Intel/Micron's 25nm NAND device

The move to the 2Xnm NAND flash node gives Intel and Micron a big step ahead of competitors in terms of cost and pricing, an advantage they will likely ride out as profit instead of squeezing prices further, according to an analyst.

 Click to Enlarge
 IM Flash's 25nm NAND flash die.

The new 167mm2 25nm-based NAND chip from the companies' JV, IM Flash, presses 8GB of storage into a single device, offering the highest density in a 2 bits/cell multilevel cell die that can fit in a standard thin small-outline package (TSOP), and multiple such devices can be stacked in a package—e.g., a 256GB solid-state drive could be built with just 32 such devices (half as many as before), and a 32GB smartphone would need just four. After sampling in February, the 25nm 8GB device is slated for mass production in 2Q10.

Jim Handy from Objective Analysis did the math on the new chip: its size suggests about 400 dice can be built on a (300mm) wafer, which he calculates at about $4.00/chip, or $0.50/GB. That's less than a third of the cost/GB of a typical 45nm MLC NAND on a 300mm line (about $1.75/GB), he notes. NAND flash prices have been "hovering at around $2.00/GB for the past year," and Intel/Micron's 34nm chip costs about half that ($1.00/GB)—so Intel/Micron could either pass along those big cost savings at 25nm and squeeze rivals, or just sit tight and pile up the profits. Intel and Micron clearly state they want to do the latter.

Handy also notes that migrating to the new 25nm process not only helps build a profit war chest vs. rivals, but it helps them "squeeze more gigabyte production out of their Lehi and Manassas lines before having to equip their new fab in Singapore."

WORLDWIDE HIGHLIGHTS

Thanks to its huge sales and high memory usage, Apple's iPhone is expected to generate insatiable demand for NAND-type flash memory in 2010, helping to strain supplies for the year, according to iSuppli Corp.

Based on January semiconductor sales, analysts already are reassessing their positive outlooks for a better-than-predicted 2010, with growth approaching or even exceeding 20%. And demand for semiconductor manufacturing equipment continues to surge, with some metrics showing strength not seen in several years, according to monthly data from SEMI and SEAJ.

Authorities in South Korea have arrested executives from Hynix Semiconductor and Applied Materials' local office, accusing them of illegally transferring key semiconductor process technology from Samsung.

Singapore's Institute of Microelectronics (IME) is partnering with the 3D TSV Consortium to develop cost-effective TSV process integration and manufacturing.

Tool business bonanza: Rudolph Technologies says it has received $5M orders for memory metrology production tools, Axcelis has landed orders for dry strip and high-current implant tools, and Nordic Semiconductor ASA has taken delivery of multiple LTX-Credence X-Series systems.

Foxconn, UMC, and Samsung are all participating in a $30M funding round for optics startup LensVector.

USA

Allvia says it has integrated embedded capacitors on silicon interposers, a key interface between silicon devices and organic substrates, achieving >1500nF/cm2 capacitance.

Fab builder M+W Zander is moving its US headquarters to be near AMD's new fab in New York.

Synopsys is acquiring CoWare, expanding its system-level design and verification capabilities.

Tessera says its OptiML image enhancement technologies are being incorporated into Samsung's new 2.0MP HD CMOS image sensors for notebook computers.

Freescale Semiconductor is targeting its new 90nm thin-film flash memory tech for next-gen MCUs at applications ranging from consumer electronics to medical devices to smart metering systems.

ASIAFOCUS

UMC plans to more than double its capex in 2010 to $1.2B-$1.5B, to be applied thusly: "greatly expand 65nm/55nm" at Fab12i in Singapore, boost 45nm/40nm and introduce 28nm R&D and pilot production at Fab12A in Tainan, and accelerate readiness of Fab12A's phase 3 cleanroom facilities and equipment installation, according to company CEO Shih-Wei Sun.

China's foundry Semiconductor Manufacturing International Corp. (SMIC) says it has appointed several new top execs (COO, CFO, CBO), and that it is in talks with an unidentified investor for a financial infusion.

ChipMOS and Siliconware Precision have struck a deal to offload SPIL's DRAM and LCD driver assembly/test lines for $51M; ChipMOS also will sell SPIL a stake in its operations for an equal amount.

Taiwan's top chip assemblers ASE and Siliconware Precision are pushing ahead with plans to increase use of copper wirebonding, each planning to more than double their systems by year's end, according to the Taiwan Economic News.

Dongbu HiTek has adopted Mentor Graphics' Eldo analog circuit simulator for cell library characterization flow.

Hynix shareholders are eyeing a possible block sale after efforts to find a single buyer have proven unsuccessful. Among the options being mulled by creditor banks: keep 15% of the 28% ownership being sold, while allowing the chipmaker to buy back 3%-5% of its own shares.

TSMC has accepted delivery of an ASML EUV system (NXE:3100), one of six such tools worldwide; it's expected to be installed at its Fab 12 site for R&D efforts.

Dialog Semiconductor and TSMC are working on a bipolar-CMOS-DMOS (BCD) technology (0.25µm high-voltage process) tailored to high-performance power management ICs for portable devices.

Ultra Clean Technology will provide manufacturing services to Orbotech at one of Ultra Clean's two facilities in Shanghai.

NEC Electronics has unveiled a new system-on-chip (SoC) image processing device that enables digital still camera-quality images for camera phones.

EUROFOCUS

STMicroelectronics has built 55nm embedded flash technology for automotive microcontrollers; development and manu-
facturing is at its 300mm site in Crolles, France, with sampling starting in mid-2011, and auto qualification slated for 2013.

Nanium SA, formerly Qimonda Portugal, will focus on assembly and test services for DRAM memory and other semiconductor markets, licensing Tessera's chip-scale and other packaging technologies.

Presto Engineering has opened a new hub in Caen, France, with equipment and infrastructure acquired from NXP Semiconductors, to offer outsourced failure analysis and reliability testing.

Nemotek Technologie has introduced a two-element VGA wafer-level lens that uses glass wafers instead of plastic.

Pfeiffer Vacuum has acquired vacuum component manufacturer Trinos Vakuum-Systeme in an undisclosed cash deal (Trinos is about 1/10 the size, with 2008 sales of €18M vs. Pfeiffer's €198M).

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles