Issue



World News


01/01/2010







  •  BUSINESS TRENDS

Gartner: Chip capex recovery marches on

A final year-end boost to Gartner's 2009 semiconductor capital spending forecast paves the way for a big recovery in 2010 and beyond for almost all sectors.

Foundries and a few memory companies started spending again in 2H09, and 1H10 will see an influx of technology upgrades, noted Dean Freeman, research VP at Gartner. After a possible lull in 3Q10, look for capacity upgrades to ramp up into 2011, he says.

Click to Enlarge
Worldwide semiconductor capital equipment spending forecast. (Source: Gartner)

After a -43% plunge in 2009, capex is expected to surge 45% surge in 2010, with very solid growth in nearly equipment sector—though broken into quarters, growth "is actually relatively flat coming off of 4Q09," Freeman told SST. The big question for wafer-fab equipment spending will be availability of 193nm immersion lithography tools, necessary for foundry and memory technology upgrades. Demand will vary in the packaging/assembly sector, with more investments required for advanced processes, such as wafer-level packaging, 3D processes, and through-silicon vias (TSV).

Gartner has lowered its outlook for the ATE sector through the entire forecast period as "memory test has completely collapsed" and DDR3 ramps in 2009 "failed to materialize," Freeman explained; consolidation and technology improvements in this sector "will keep this growing at a lower pace than before."


 

WORLDWIDE HIGHLIGHTS

The World Semiconductor Trade Statistics (WSTS) and Gartner have updated their chip sales projections: about an -11% decline in 2009, and 12%-13% growth in 2010.

SEMATECH has named Daniel Armbrust, most recently IBM's VP of 300mm operations at East Fishkill, as president/CEO.

STMicroelectronics is extending its use of Brion Technologies' Tachyon source-mask optimization to 28nm-node deployment and 22nm development.

Nemotek Technologie has added in-house testing capabilities for wafer-level cameras.

USA

Applied Materials has acquired Semitool for ~$364M to solidify footholds in 3D packaging/TSVs and Cu-based interconnects.

ClassOne Equipment has purchased the equipment inventory of Colibrys' MEMS facility in Stafford, TX.

Hemlock Semiconductor has broken ground on a $1.2B plant in Clarksville, TN, scheduled to be completed in 2012.

ASIAFOCUS

Toshiba says it has developed a high-resolution photoresist specifically for EUV lithography viable to 20nm.

STATS ChipPAC has ramped volume production of embedded wafer-level BGA.

Fujitsu says it is exploring "drastic reforms," including realigning chip production lines—but for now, no tie-ups with rivals.

Hynix reportedly will spend roughly $2B in 2010 on its semiconductor business, but mostly not on new facilities.

TSMC plans to launch a process qualification and service package for automotive-grade semiconductors, which its 200mm Fab 10 in Shanghai is prepared to manufacture.

Tokyo Electron Ltd. reportedly will resume plans to build a new factory near Sendai.

Elpida plans to ramp production of 32-bit 2Gb DDR2 in 2Q10, and has opened a high-speed DRAM test lab in Germany.

An unidentified "Tier-1 fab in East Asia" has ordered multiple Jordan Valley X-ray metrology tools for Cu and seed barrier processes.

EUROFOCUS

ASM has licensed processes and material IP to Air Liquide related to deposition of advanced ultrahigh-k insulator films.

Scottish foundry Semefab is investing £6.6M (US $11M) in a new wafer fab for frontend processing of MEMS structures.

Plus Semi is taking over X-Fabs' 5000 WSPM (200mm-equivalent) wafer fab in Plymouth, UK, reuniting two former Plessey operations.

Soitec and CEA-LETI are expanding their collaboration on wafer-level 3D integration, starting with process customization for 200mm-300mm prototyping.

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles