Issue



Executive viewpoints: The recovery has arrived!


01/01/2010







Pete Singer, Editor-in-Chief

The conventional wisdom is that scaling will continue at the traditional pace defined by Moore's Law well into the future. Companies are just now ramping 32nm devices into volume production, and the industry is on track to move to the 22nm node in 2011. That will be followed by 15nm in the 2014-15 timeframe and the 11nm node in 2017-18. Further scaling to 8 and 5nm nodes will occur beyond 2020, perhaps enabled by silicon nanowires.

To gain insight into what technologies will be required to make all this happen, and what impact the recent downturn has had on technology development, we invited leading industry executives to provide their perspectives on what 2010 will bring by asking them two questions: 1) How will the current economic climate affect our market in 2010?, and 2) What will be the most significant technological advancements in 2010? These are their responses:

Delivering 10X design improvements

Time and time again, escalating complexity has threatened to derail the IC industry from the extraordinary 35% annual reduction in transistor pricing it has enjoyed the past 40+ years. Fortunately, in every instance, creative engineers and companies have seen this as a challenge and opportunity to innovate. As a result, the electronic design automation (EDA) industry has repeatedly delivered order of magnitude improvements in every aspect of the IC design cycle for over three decades.

Click to EnlargeWalden C. Rhines, Mentor Graphics, Wilsonville, OR USA

Today, the exponential rise in complexity has quickened its pace as the industry moves toward adoption of 28nm and below. In the next few years, 10X improvements in design methodologies are needed in the following areas:

Low power design (from system level through physical layout). This issue of power can no longer wait to be addressed in the backend. Architectural choices made in the front end of design have very large impact on the power, which is why advanced design tools now provide accurate power modeling early in the design flow when architectural tradeoffs can be made more easily. Look to see many exciting innovations in low power design capabilities.

Keeping up with the growing functional verification challenge. As designs explode in size and complexity, old-school simulation runs aren't sufficient to reach effective coverage. Fortunately, the EDA industry has developed new approaches, including electronic system level (ESL), coverage-based verification, emulation, intelligent testbench, hardware acceleration of test benches and assertion-based verification, which are all showing great results. The challenge here is to help designers adopt these new methods to improve their verification approaches.

Dealing with manufacturing variability. As we move toward smaller geometries, we need better techniques to manage the growing problem of variability in nanometer integrated circuit manufacturing. We are really starting to see that design for manufacturing (DFM)—something the industry has been talking about for years—is now becoming critical to design. DFM requires a detailed understanding of optical proximity correction (OPC). Specialists in optics have joined traditional electronic design specialists at EDA companies to create these key technologies. And EDA companies are working closely with semiconductor manufacturers on process technology, as evidenced by the IBM/Mentor joint development program at 22nm.

By creating innovative solutions to tackle challenges such as these, the EDA industry enables designers to successfully exploit the exponential rise in complexity to continue the remarkable 35% annual cost reduction we have grown to expect from the high tech industry.

Shrink is the key

Shrink is a key business differentiator for chipmakers as it continues to provide the most cost-effective manufacturing solution. And lithography continues adding value, with ArF immersion lithography providing shrink to 4x and 3x nodes.

Three significant things will happen to lithography in 2010. First, double-patterning techniques will be commonly implemented to realize low-3x and 2x nm manufacturing. Second, the lithography process will become more holistic. Third, the first production EUV systems will ship.

Click to Enlarge

Martin van den Brink, EVP, Marketing and Technology, ASML, Eindhoven, The Netherlands

For the 2x node, many chipmakers will employ double-patterning techniques with ArF immersion; however, with double-patterning, overlay requirements become extremely stringent and productivity becomes more important. Chipmakers will look to lithography systems that provide low single-digit overlay performance while at the same time driving system throughput to 200 wafers per hour and more.

Holistic lithography is the intelligent integration of computational lithography, wafer lithography and process control. Today's ultra-small feature sizes and complex pattern geometries mean that it is no longer enough to optimize individual IC manufacturing steps in isolation. Manufacturers need to use the degrees of freedom available in one step to compensate for limitations in others. By integrating "pre-manufacturing" and "manufacturing" products and processes, lithography becomes "application aware" and low-k1 processing can be done at acceptable yield. For example, new technologies such as flexible illumination—already an inherently powerful tool—become even more valuable when working in concert with Source Mask Optimization (SMO) products.

Two EUV alpha demo tools shipped in 2006, and since that time have provided a platform to build industry infrastructure and develop EUV processing knowledge. The first production EUV system integration is being completed and will ship in 2010. As a single exposure solution, EUV imposes fewer design restrictions and provides better cost of ownership than immersion double-patterning techniques. EUV is a multi-generation platform that will enable shrink down to 5nm.

Immersion, double patterning and EUV are all required to meet diverse customer requirements. Implementing these technologies using a holistic lithographic approach will continue to provide shrink for many years to come.

New approaches needed to enhance collaborative efforts

Looking ahead to 2010 from where the industry is today, the semiconductor market has clearly entered a growth phase; what remains to be seen is its rate and duration, although current capex forecasts suggest rapid acceleration. Given the depth and duration of the down cycle and its impact to the financial health of our industry, it would seem some changes in the industry's business model could help mitigate the costs of all that lies before us.

 

Click to EnlargeLarry Dulmage, VP, Corporate Marketing, Crossing Automation, Mountain View, CA USA

In the next two years, the industry is looking at ramping capacity by 40% or more while developing the new technologies for 22nm manufacturing that will be required to sustain Moore's Law, and at the same time, pursuing the 450mm transition while driving cost reduction. Unless equipment suppliers and manufacturers find ways to share development costs, accomplishing all of this will further stress the financial stability of the industry. And yet, this issue remains one of the more contentious and difficult concepts to resolve.

On the bright side, the IDM community is embracing JVs, JDAs and consortia while retaining its competitive edge. We see this as an opportunity for IDMs to work much more closely with the process equipment industry. But such joint development efforts alone will not achieve critical improvements ,such as shorter development times, reduced inventories, and tool configuration flexibility.

The full impact of new approaches to subsystems, modules, and common control platforms for wafer-level automation can deliver value-add to OEMs and device manufacturers alike. One novel approach to subsystem design and manufacture enables suppliers to develop process and performance-specific solutions using off-the-shelf components. This model allows for flexibility of customization, while reducing costs to OEMs and IDMs, by implementing a standardized set of tools. Inherent in the ability to standardize platforms is significant cost savings, while customization can enhance critical aspects of the process tool performance.

It is change along these lines that will be required to sustain the incredible creative force of the semiconductor industry, which is needed to ensure the constant flow of innovation in order to meet the projected growth in the future.

A decade gone, new challenges ahead

It's hard to believe as I reflect on our industry today, that the first decade of the 21st century is already gone. The 2000s have brought both triumph and tragedy to the macroeconomic climate and semiconductor industry as a whole—with the harsh economic trials of late 2008 and 2009 still emblazoned on our minds.

Click to Enlarge

Brian Trafas, Chief Marketing Officer, KLA-Tencor, Milpitas, CA USA

As I reflect today on the 12 months ahead, I can say that while there is still a lot of uncertainty about the pace of economic recovery, the overall semiconductor industry is seeing signs of stabilization. It feels like the worst is behind us. We are currently in the early stages of a semiconductor cycle characterized by rising technical complexity, and particularly for KLA-Tencor, a cycle riddled with increasingly challenging yield issues for chipmakers—which will ultimately drive higher adoption of process control solutions. To benefit from this growth, KLA-Tencor intends to continue its heavy investment in R&D.

Today, we're seeing primarily technology buy orders that are focused on design rule conversions and advanced development, driven by new consumer products. We are also seeing some mild capacity investment. Among our customer base, foundry has once again been very strong, supplying the majority of new orders over the past few quarters. As foundries accelerate their technology investments, they're investing in process control to speed their yield learning in production—ensuring qualification of next-generation technologies.

We're also seeing technology buys in the memory market—primarily DRAM—and over the coming months, we're seeing overall memory order levels on the upswing as general memory industry health continues to improve, and competitive forces push market players to accelerate investment in the leading edge to drive down costs. While NAND investment continues to remain light, we expect higher levels overall of investment from memory in the first half of 2010 as memory capex budgets were suppressed in 2009 below sustainable levels.

For industry leaders to remain financially and competitively strong over the next four quarters, investment in innovation must remain a top priority. I look forward with excited curiosity to what lies ahead in 2010, and to the new triumphs—and challenges—the semiconductor industry may face.

Mobile communications technology and the environment to drive growth in 2010

At Edwards, we are seeing positive signs of economic rebound. We are forecasting orders to increase by 30-40% in our sector of the semiconductor market in 2010. We expect the primary drivers to be technology upgrades and new capacity orders. One of the key applications fueling this growth will be mobile communications and netbooks, where demand for improved display technology will require expanded capacity in LED and FPD manufacturing. A growing demand for Ethernet connectivity in the home will be another key technology driver. We also expect to see an upturn in our service business as fab utilization increases in the coming year.

Click to EnlargeNigel Hunton, CEO, Edwards, Crawley, West Sussex, UK

Environmental concerns will spur demand for our products, due to the growing need to control greenhouse gas emissions. Fuel burners can be optimized for complete abatement of offensive gasses with minimum fuel consumption, providing the dual benefit of efficient abatement and reduced carbon footprint. As a result, we expect to see significant demand for our abatement systems, with growth in that sector outstripping that of our vacuum products. We see much of this growth coming from our customers in Asia.

Concerns about global warming and sustainable manufacturing have led us to take a careful look at the environmental impact of our products. In fact, Edwards has developed and published specific goals for the coming year to minimize the effects our tools and manufacturing processes have on the environment. Since vacuum subsystems account for as much as 50% of the power used by many process tools, reducing their water usage and power consumption not only helps the environment, but also lowers the cost of ownership of our products, providing a direct economic benefit to our customers.

Our position as an independent company has helped us to weather the recent downturn by enabling us to be more responsive to the demands of the market. We believe this approach will enable us to anticipate and react proactively to the challenges of a changing market in the coming year and into the future.

Innovation in 2010: full speed ahead

The signs of recovery in the semiconductor industry are encouraging, but there are several questions behind these signs. What will this industry look like when the global economy recovers? Can the industry return to its historical levels of spending? And if so, what will drive that spending?

Click to EnlargeGideon Argov, President & CEO, Entegris, Billerica, MA USA

These certainly aren't new questions, and even though significant capacity expansion in the industry has slowed, the drive for next-generation technologies has not. With 32nm production upon us and 22nm on the doorstep, realizing the full technical and market potential of these technology generations requires breakthrough solutions in a number of areas.

One area that continues to receive critical evaluation is creating manufacturing processes that are even more pure and defect-free, which involves controlling increasingly subtle and costly contamination sources. The use of new materials and chemistries, combined with implementation costs, makes controlling contamination a challenge, but well worth the investment. Minimizing airborne molecular contamination (AMC) and controlling volatile organic compounds in critical areas of the fab, and in microenvironments that house wafers and reticles, can reduce on-wafer defects and lower expensive production tool maintenance costs.

This illustrates an interesting paradox that is emerging. As our industry redefines its roadmap and recalibrates its spending on next-generation process technology development, we need more innovation, not less. We need to find new solutions that improve productivity and yield, while lowering the total cost of ownership. Once this mindset is fully incorporated into the semiconductor industry, those questions that we are asking may become much easier to address.

Secondary equipment market enables financial viability

Economic recovery? The stock market appears to have bounced back, but we won't have a true sustainable recovery until we have a sustained recovery in the job market. After all, consumers are funded by jobs and a lack of jobs means a lack of consumer funds and thus diminished consumer spending. Regardless of political affiliation, this should be the main focus of any purported economic stimulus efforts.

Click to EnlargeTim Tobin, CEO, Entrepix, Tempe, AZ USA

Circa 2005, the consumer became our industry's main end user, accounting for over 50% of all semiconductor sales. The economics of such a mature semiconductor industry were redefined by the 2007 ITRS roadmap. Naturally, the secondary equipment market plays a key and central focal point enabling financial viability. This secondary market imperative is verified by looking at the operations of several larger OEMs who now have groups or divisions dedicated to supporting their pre-owned equipment. It was further emphasized by SEMI's July 2009 launch of the Secondary Equipment Services and Technology Group (SESTG), a special interest group targeted at formally developing, enhancing and promoting the unique requirements of this market space.

A large but highly fragmented supply chain of smaller third parties has developed to support device manufacturers' needs beyond the capability of the OEMs due to both OEM resource limitations and a large base of very small companies with special needs. Much the same as the IDMs and the OEMs themselves, a key point to the evolution and maturation of this secondary market space will be consolidation of third-party providers to achieve critical mass and provide a stronger value proposition for the customer.

A successful consolidation effort will result in several larger third parties who provide OEM-like support, particularly for the higher value-add manufacturing processes. These large third-party providers must have a global presence and be able to support all facets of IDMs requirements, including refurbished equipment, spare parts, field service, training, process development, as well as hardware and software upgrades. By doing this, these providers will be able to offer manufacturers improved technical performance and long-term platform viability while significantly increasing their ROI.

New technology will lead emergence from the downturn in 2010

While 2009 was clearly a down year for the semiconductor industry, we saw continuing activity in research and development as major manufacturers strove to prepare for the anticipated recovery. Collaborative research at organizations such as SEMATECH, Leti, Albany NanoTech, and others, has continued to push the technological envelope, and advanced analytical instrumentation will certainly play a critical role in bringing these innovations into production.

Click to EnlargeRudy Kellner, VP and GM, Electronics Division, FEI, Hillsboro, OR USA

The semiconductor industry and its equipment suppliers have recently seen increased order activity, and it remains to be seen how sustainable the recovery will be. We do know that the industry will continue to innovate and drive to new generations of devices and the tools that are used to develop and produce them. Growing demand for the advanced analytical instrumentation that we supply will be driven by the move to 32 and 22nm technology nodes, the implementation of new device designs and 3D chip architectures, such as FinFETs and TSVs, and the increasing complexity of the materials being used.

The increased resolution required by the shrinking size and growing complexity of semiconductor devices will accelerate the transition from scanning electron microscopy (SEM) to scanning/transmission electron microscope (S/TEM) systems; however, the move from SEM to S/TEM is not just a resolution game. Most importantly, it is about enabling manufacturers to get the data they need faster, easier, and at a more affordable cost per sample. FEI is investing heavily in products that help to support this transition.

Ultimately, we expect the semiconductor industry to emerge from this downturn, although it will probably have a narrower base of participants with the wherewithal to make the required investments in research and fabrication facilities. We look forward to continuing to meet the imaging and analytical needs of this vital and innovative industry, as it moves ahead into a promising and exciting future.

Looking for gains as the recovery solidifies

Our industry has recently suffered one of the most serious downturns in its history, the result of the industry's own cyclical nature compounded by a deep general economic recession. Worldwide average monthly spending for the equipment we produce dropped by 91% from the 2007 peak to the early 2009 trough. For FSI, our bookings dropped 75% during the same period—less than the industry because of new products and some increasing market share in Asia. Still, we have aggressively cut costs and realigned our infrastructure. We consolidated our European and US operations, and we increased our investments in Asia, where the majority of our system sales are occurring. I believe we are now well positioned to improve our financial performance and gain share, as the cycle swings into positive territory again.

Click to Enlarge

Don Mitchell, Chairman and CEO, FSI International, Inc., Chaska, MN USA

There are credible indications that a strong recovery has now begun. For instance, major manufacturers are reporting very positive results and offering encouraging guidance for the future. We remain cautiously optimistic that the recovery will accelerate into 2010.

Our sales through this difficult period have been driven primarily by "technology buys" of our advanced new products as our customers have sought to take advantage of the slowdown to develop new processes and implement new technologies. We have seen significant interest in FSI's ViPR technology—a high temperature wet chemical method, capable of removing difficult films, such as highly implanted photo resist in 300mm FEOL processes, which, by eliminating the need for plasma ashing, reduce unwanted material loss, cycle time, and capital expenditures. In BEOL applications, these same technologies can strip unreacted metal in nickel platinum salicide processes that use low annealing temperatures. The process is already well established on batch spray platforms and we expect to see similar acceptance on single wafer platforms as that they continue to gain ground in advanced processes.

Also on single wafer platforms, we are seeing significant interest in the unique benefits of closed chamber, low oxygen environments for critical BEOL interconnect cleaning. We expect to see substantial growth in the single wafer cleaning segment.

Reduced cost per die will drive end user choices

The last 18 months have been the toughest on record for the semiconductor industry, and extremely frustrating for equipment providers. It was tough because the familiar industry downturn cycle was coupled with the worst global economic crisis in living memory, giving rise to, quite simply, mayhem. Almost everywhere, things were bad and seemed to be getting worse—including major companies closing and supply chains in disarray. It has also been an incredibly challenging time because it seemed that sales forecasts kept moving further out. Customers wanted to place orders, but would simply not release their budgets. In most instances, business wasn't lost, but timing was delayed and unpredictable.

Click to EnlargeAdrian Kiermasz, President & CEO, Metryx, Bristol, UK

How could anybody survive such turmoil?

With fewer orders than we would have liked, a dedicated staff and some difficult management decisions —common trends seen across the industry—we are now seeing signs of the next upturn and anticipating an extremely strong 2010. Customers who delayed purchases have started buying, and interest is very high for products that enable chip manufacturers to realize reduced cost-per-die.

We expect that sales across the industry in 2010 will be for high ROI solutions, and those with the ability to offer risk management of process performance, particularly on product wafers, reducing the significant cost of test wafers.

Downturns are a fact of life in the semiconductor industry. When you're in a downturn, it's always difficult to see when it will end and when you're not in a downturn, predictions are that the good times will continue forever. Predictions are often wrong, of course. Therefore, we are still vigilant and mindful that although signs look very encouraging, we are still in the very early stages of recovery.

Disruptive packaging technology will drive the recovery in 2010

Recent statistics regarding inventory levels and corporate earnings suggest that the semiconductor packaging market appears to be recovering from the Q4 2008–Q1 2009 low point much faster than many industry experts had anticipated. Though the improvements seem to be occurring in a wide range of markets and applications, recovery in some segments is following a faster curve which, in part, may be attributable to innovation initiatives.

Click to Enlarge

Michael Todd, VP of Product Development & Engineering, Henkel Corporation, Irvine, CA USA

Last year, innovation focus in the packaging industry was driven by cost. This year, however, disruptive packaging advances are likely to lead the headlines.

New package innovation is arguably back on track and we, as a materials developer, are now being pushed harder for enabling products that we have been developing over the last 12–24 months. Wafer-level packaging innovations, such as through silicon vias (TSVs)—especially in the area of IC/memory integration—and an explosion of new developments in the area of multi-die and die integration technologies are moving the industry further and faster than we can recall in recent years.

During the downturn, we invested significant resource to deliver market-ready materials aligned with this renewed emphasis on packaging technology development. Innovations such as new compression molding compounds that we have developed over the past few years, are now finding application in 8-inch and 12-inch wafer-level redistribution technology. A new portfolio of film die attach products has also been introduced to support improved package reliability, ease of use, and better throughput.

Anticipating the need for thinner bondlines of 5μm and less, lower total package cost, and throughput increases, next-generation die attach technology—such as wafer backside coating (WBC)—is also in development to meet the requirements of advanced die stacking applications not conducive to traditional die attach film processes. Products for robust photovoltaic production have also been central to our innovation efforts and long-term strategy.

We believe the recovery is underway and we're looking forward to a year filled with exciting technology progress!

Established markets to be most robust through recovery

The consensus among industry analysts and DEK's Dover Electronics group colleagues is that, while all market sectors and all geographies declined sharply in 2009, there is no doubt that recovery has begun and will continue into 2010 and beyond. In fact, we believe that the global electronics industry should rebound and develop according to its long-term average growth trend lines. While there will no doubt be new technology introductions, the markets that were strongest prior to the downturn will remain the most robust throughout the recovery.

Click to EnlargeMichael Brianda, President, DEK International, Zürich, Switzerland

Notebook growth has historically been strong, but trends indicate a shift toward low-cost netbooks and inexpensive laptops—a condition that will likely improve unit shipments but leaves revenue levels in doubt. Likewise, the maturity of the handset market is difficult to dispute, and this segment was one of the hardest hit during the recession. Substantive revenue gains for handsets will be largely dependent on replacement cycles, population expansion, and affordability among people in emerging economies. Photovoltaics enjoyed substantial progress in 2008, but 2009 has been a different story. This is expected to change in 2010 as production will increase, albeit at lower per-module prices.

Throughout the downturn, DEK carefully balanced cost structure reductions with the safeguarding of value-driven customer activities, ensuring that our long-term strategic technology initiatives were not adversely impacted. While DEK has adjusted accordingly for the challenging year behind us, we have not done so at the expense of innovation and R&D investment. Because we continued to invest in R&D, we will launch several new products and are, therefore, prepared for moderate gains in the semiconductor market over the next five years, followed by what we anticipate will be growth levels consistent with previous highs. Our SMT business is already seeing signs of recovery, primarily driven by China. Solar cell production capacity will witness gains in 2010 and there is much potential for this market segment in all regions in subsequent years.

Chemically-assisted cleans are key

We expect that the U.S. electronics market will slowly recover, mainly through an increased demand for high end products by the military and medical segments. Due to numerous consumer electronic innovations, we predict to see the largest recovery within the Asian manufacturing market next year. The latter is complemented by a continued transfer of aerospace manufacturing to Southeast Asia.

Click to EnlargeDr. Harald Wack, President ZESTRON Worldwide

In anticipation of significant growth next year, ZESTRON has chosen to heavily invest in personnel and its organization within the Asian-Pacific region in 2009 and 2010. Two new technical centers are in the planning phase to further focus on developing market opportunities. As numerous companies are still investigating process improvements, ZESTRON's "first-of-its-kind" technologies have shown a tremendous savings potential. These advances will be instrumental in helping companies lower their overall process costs.

Within the front and back-end of our industry, we have laid the foundation for some very intriguing cleaning product innovations targeted to improve the performance of DI-water. For example, almost all notable industry leaders (especially the semiconductor chip manufacturers) have switched to, or are current by transitioning to a chemistry "assisted" cleaning process. Previously, they had been strict advocates of using DI-water only. This move comes as decreasing geometries and physical characteristics of DI-water are not allowing for sufficient cleaning under components. This trend coincides with the emergence of pH-neutral defluxing technologies intended to provide ultimate material compatibility while meeting the most stringent environmental
requirements.

We foresee that 2010 will hold a number of tremendous innovations mainly designed to help manufacturers meet the ever increasing demands of the electronics assembly industry.

Delivering on 3D’s promise in 2010

The global economic recession left no industry untouched by its fallout in 2009, and with mixed expectations for market recovery reported by experts, the industry will need to look at 2010 with cautious optimism. Despite the doom and gloom, there are technology bright spots in the semiconductor industry, which we expect to contribute to significant growth opportunities in the year ahead. These include 3D/TSV and nanoimprint lithography (NIL) for applications such as memory and CMOS image sensors.

Click to EnlargePaul Lindner, executive technology director, EV Group, St. Florian/Inn, Austria

In the semiconductor market, 2009 proved to be an inception point for 3D/TSV technology adoption with 3D for CMOS image sensors, which is now a volume manufacturing reality, as well as for 3D memory in which active development is taking place. Looking ahead, one of the next significant challenges for equipment suppliers in readying the manufacturing world for 3D integration is realizing cost-of-ownership benefits. In doing so, the focus will be on productivity and performance improvements throughout the 3D manufacturing process flow. And consortias, such as EMC-3D, are evaluating process improvements collectively with its members. So, what we are likely to see in 2010 will be a continued growth in technology vs. capacity buys.

Beyond 3D, we expect continued adoption of NIL in the coming year for the manufacture of CMOS image sensors driven by demand for higher megapixel cameras from the consumer mobile market. Our roadmap outlines that by 2013, a significant share of mobile phone cameras will offer 5 megapixels including a low-cost, wafer-level manufactured optical system. However, in order to realize this, manufacturers will be faced with grave limitations in the creation of wafer-level lens masters with sub-micron range precision. Currently, conventional mastering approaches limit the spacing precision of the individual lenses on the wafer to 2-3µm for 1-2 megapixel sensors. Given the technology constraint, new lithography approaches, such as the NIL stepper, are being quickly adopted to meet roadmap targets and consumer mobile demands. As such, 2010 marks a pivotal point in which NIL adoption could gain greater traction than in years past.

So, while we expect to see continued adoption momentum for 3D/TSV and NIL technologies overall, innovation and growth certainly isn't only limited to these markets. There are emerging areas to be on the lookout for, including a number of vertical areas in MEMS (e.g., bioMEMS, automotive applications), HB-LEDs, etc. So, while the economic outlook is a little uncertain, we are cautiously optimistic given the technology bright spots and intensifying of innovation on the horizon.

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles