Issue



Model-based mask data prep using overlapping shots for 20nm devices


10/01/2011







Aki Fujimura, D2S and eBeam Initiative, San Jose, CA, USA


As semiconductor devices push into the 20nm process node and beyond, new techniques are needed to extend the viability of 193nm immersion.


E-beam mask writing technology has evolved rapidly to meet the demands of 20nm and beyond devices. Much of this work has focused on optimizing the mask using aggressive OPC, such as inverse lithography techniques (ILT) and source mask optimization (SMO). According to a recently presented SEMATECH trend analysis [1], levels of "aggressive" OPC (which would include such techniques as ILT and SMO) have more than tripled since 2002, as shown below in Fig. 1.










Figure 1. Levels of aggressive OPC have more than tripled since 2002. Source: SEMATECH. *Data average was weighted by volume except 2002.

The growing use of aggressive OPC, however, has given rise to another problem: the un-writable mask. A 2009 Samsung study [2] demonstrated that at 20nm and beyond, a trade-off between e-beam shot-count and wafer process window (PW) exists whereby the number of shots needed to assure excellent PW using aggressive OPC can result in untenable mask write-times and costs.


Accordingly, many of the latest advances in e-beam mask writing have focused on improving write-times while maintaining or improving PW. Some techniques, such as ILT, have been modified to lower shot count by "Manhattanizing" the shapes used to create the mask patterns so that the masks can be written using only rectangular variable shaped-beam (VSB) shots. However, these techniques have supplied only part of the required shot-count reduction.


In 2010, the use of circular shots for writing main features and overlapping circles to write curvilinear assist features was introduced. Circular apertures have the advantage of improving mask critical dimension uniformity (CDU), mask error enhancement factor (MEEF) and wafer CDU, while also decreasing write-times. However, circular shots are not currently supported widely by e-beam mask writing equipment.


The latest development in e-beam mask writing technology is MB-MDP, with its ability to generate shot lists with overlapped VSB shots to create the more complex and higher-resolution mask features introduced through aggressive OPC. This enables fewer shots (and therefore shorter write-times) while maintaining sufficient PW on the wafer.


Until now, e-beam shots did not overlap because of limitations in the fracturing technologies used to prepare data for the mask writer, and limitations in the mask writing equipment.


Old assumptions shaped past approaches


Many of the standard approaches of semiconductor design and manufacturing (e.g., mask shapes based on non-overlapping rectangles and some triangles) are assumptions based on the computing power available in the last century. The fracturing technologies that break up the features of a semiconductor layout into constituent rectangles and 45-degree triangles for projection onto a mask were born with the emergence of variable VSB e-beam writing, and have maintained more or less the same algorithms for decades. As a result, some e-beam mask writing equipment did not support overlapping shots.


New technologies = new assumptions


Access to dramatically increased computing power has enabled MB-MDP, a new approach to mask-data preparation. Traditional fracturing-based mask-data preparation techniques look at the desired drawn shape and find the combination of adjacent (non-overlapping) rectangles (and potentially some triangles) that will create those shapes.


In contrast, MB-MDP is based on mask simulation. By fully simulating the energy deposited on the mask by each e-beam shot, MB-MDP is able to match shapes much more flexibly. MB-MDP can use any shape aperture (including circles), it can overlap these shots and it can assign a dosage level to each shot as shown in Fig. 2. This enables control over the total dosage for overlapping shots in any given area.










Figure 2. Unique techniques used by MB-MDP. Source: D2S, Inc.

U.S. Patent 7,579,606; 7,745,078; 7,747,977; 7,754,401; 7,759,026; 7,759,027; 7,772,575; 7,799,489; 7,901,845; 7,901,850; 7,914,954; 7,981,575; 7,985,514, and other patents pending.

Until now, all shots have been considered to be "full strength" for mask-data preparation purposes. However, adjustable dosage helps to improve CDU of sub-80nm features that would otherwise print smaller than intended. Oversizing the data (purposefully drawing larger shapes with the knowledge that they will write smaller on the mask) can also correct small shapes, but the dose margin (DM) will not be as good as with overdosing.


Overlapping shots can produce a 2X, and even 3X, dose on a machine without per-shot dose modulation. MB-MDP utilizes this effect to gain both shot reduction and sub-80nm shape enhancement simultaneously.


The additional capability to have per-shot dose control gives more flexibility with less impact on mask write-times. Overlapping two shots on top of each other creates 2X dose, but with an addition of a "blanking time." It is likely that much less than 2X dose is sufficient for the desired effect, and the blanking time is eliminated in a single more accurately overdosed shot.


The combination of MB-MDP technology and new-generation e-beam mask writing equipment has made overlapping shots feasible. In 2010, eBeam Initiative members validated the ability to write a mask with improved CDU while reducing shot count using a production e-beam mask writer [3]










Figure 3. MB-MDP enables overlapping shots with significant shot-count reduction for apertures of any shape. Source: D2S, Inc. and Luminescent Technologies

Concerns laid to rest


There had been some concerns that the thermal energy created by overlapping e-beam shots could have negative consequences. Temperature control is always a concern for mask writing; keeping the substrate at a relatively constant temperature is important for CD control. Even though it is clear that large shots have the highest power transfer, overlapping shots and overdosed shots raise questions about the impact of local heating on CD uniformity. Worse, if the resist overheated dramatically (far beyond the level that would impact CDU), resist material could vaporize and contaminate the mask chamber. However, the level of heating difference produced by MB-MDP is nowhere near the range of concern.


In fact, concerns about the thermal effects of overlapping shots were laid to rest in the paper published at the 2011 SPIE Advanced Lithography Symposium by eBeam Initiative members [4]. A leading e-beam mask writing equipment manufacturer performed tests that confirm that the thermal energy created by the MB-MDP overlapping shots and written using new-generation mask writing equipment falls within the normal operating temperatures of the mask writing equipment, and has no negative impact on CDU. It therefore also falls far short of the extreme level of overheating that would vaporize the resist. These results are consistent with the understanding that heating on a wafer is a large-area effect, as the wafer has considerable thermal mass and thus a "memory" that mitigates any local effects.


Using MB-MDP with overlapping shots


Overlapping shots created by MB-MDP enable two key benefits: lowered shot count (and therefore faster write-times) while simultaneously maintaining or improving PW on the wafer, CDU and DM. In addition, because MB-MDP simulates the effects of shots on the mask plane and produces the desired contour at the resist threshold, MB-MDP provides built-in mask process correction (MPC). With this MPC effect, MB-MDP enhances the size and/or dose of sub-80nm features today.


Looking forward, MB-MDP will also support the development of masks for extreme ultraviolet (EUV) lithography, one of the leading candidates for next-generation lithography for high-volume designs. EUV, while very accurate, requires a mid-range (~2??m) correction that is not found in 193i masks, as the 2??m range is too small for the machine's PEC mechanisms. A new approach is required to handle the necessary correction for EUV. MB-MDP takes this correction into account when generating overlapping shots. In addition, because of the accuracy of EUV lithography, mask abnormalities are printed more faithfully to the wafer, so EUV masks must be much more accurate. The improved PW, CDU and DM enabled by aggressive OPC written with overlapping e-beam shots makes MB-MDP a good choice for EUV mask making.


Conclusion


As processes push into the 20nm realm and beyond, the industry is on a continual search for technologies and methodologies that will enable realistically priced masks that yield reliably. OPC technologies use aggressive assist features with sub-100nm features on the mask to offer improved PW (and therefore yield). MB-MDP, coupled with new-generation mask-writing equipment, produces overlapping e-beam shots that result in lower shot count (which increases throughput and lowers cost) with maintained or improved CDU and DM for these complex shapes. This new technology offers good cost/yield tradeoffs for a range of designs. In addition, MB-MDP also offers the benefit of built-in MPC. This aspect makes MB-MDP attractive for EUV masks as well. Recent tests by a leading mask writing equipment manufacturer have concluded that overlapping shots have no impact on thermal effects on the mask.


MB-MDP and overlapping e-beam shots benefit today's masks for 193i lithography and offer important support for masks that will be used with tomorrow's EUV systems.


References


1. D. Chan, G. Hughes, "SEMATECH 2002 to 2010 Survey Trend Analysis," European Mask and Lithography Conf., 2011.


2. B. Kim, et al., "Tradeoff between lithographic performance and mask cost of masks made by inverse lithography technology," Photomask Japan 2009, 7379-57 (2009).


3. A. Fujimura, D. Kim, I. Bork, C. Pierrat, "Writing 32nm-hp Contacts with Curvilinear Assist Features," Proc., SPIE Photomask Tech. 2010, 7823-6.


4. A. Fujimura, T. Kamikubo, I. Bork, "Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating," SPIE Advanced Lithography 2011.


Aki Fujimura received his bachelor's and master's degrees in electrical engineering from MIT and is CEO of D2S, Inc. (managing company sponsor of the eBeam Initiative), 4040 Moorpark Ave. #250, San Jose, CA 95117; email [email protected].


More Solid State Technology Current Issue Articles

More Solid State Technology Archives Issue Articles