Issue



Double-patterning, topcoat-less photoresists and silicon hard masks


09/01/2011












Executive Overview


Double-patterning has allowed us to push the limits of 193nm imaging, and is where leading edge photolithography stands today. The blend of incredibly low k1 imaging, improvements in scanner alignment capabilities, the ability of mask houses and designers to provide extremely complicated pattern stitching, and the move toward one directional mask layouts, have all paved the road for new and exciting materials solutions in double-patterning. The integration of double-patterning has also allowed more opportunities in the ancillary area of specialty materials with technologies such as spin-on hard masks, freezing/shrinking/slimming agents, along with immersion topcoats and topcoat-less resists.



Mark Slezak, Brian Osborn, JSR Micro, Inc., Sunnyvale, CA USA


The semiconductor industry is relying on materials innovation more and more as we begin to run into fundamental limits of physics. Photolithography anxiously awaits the implementation of EUV, as its 13.5nm wavelength of light will allow lithographers to work under more relaxed k1 imaging. The reality, however, is that there are many challenges associated with EUV, such as light source reliability, masks (and the ability to inspect them), photoresist sensitivity and line edge roughness. The good news is that EUV is making strides and the first full-field EUV tools are being delivered now. The bad news is that it will be awhile before the lithography community relies on EUV as the workhorse solution for critical layer lithography.


Double-patterning


Double-patterning is a technique that has served well as an interim process between ArF immersion and EUV. Double-patterning has taken on many mantles within the lithography community, but at its most basic, it is two patterning steps done for one layer. There are a variety of ways to accomplish this, such as:


Double-patterning. This technique uses two resists and two consecutive sequences of resist patterning, exposure and development.


Double exposure. In this technique, the same photoresist layer is exposed twice, using either different or offset masks, and/or altogether different illumination conditions.


Dual-tone resists. These photoresists have both positive and negative tone aspects, which are patterned based on the relative exposure dose, being cross-linked (negative tone) in high dose areas and positive tone in lower dose areas.


Self-aligned spacer. This typically involves patterning a semidense pitch (1 line to 3 spaces) using a conventional ArF or ArFi (immersion) resists, followed by either chemical-vapor deposition (CVD) or spin-on SiO2, which creates an oxide layer atop the resist pattern. The top of the resist pattern is revealed via etch, then the photoresist removed, leaving an equal line space (1 line 1 space, 1:1) relief image of oxide as the final, transferred pattern. Depending on the mask layout, an additional lithography step (often called a cut mask) is needed to trim the ends of the lines that were deposited with SiO2.


Litho-etch, litho-etch (LELE). This technique is perhaps the least time and cost effective, but easiest to immediately implement. The LELE process does two complete lithography and etch sequences for a single device layer. While lengthy and costly, it can be done now with existing materials, tools and mask sets.


The primary technique for double-patterning is: the first resist is patterned in a typical lithography process, and will then have the second resist coated directly on top of it and subsequently patterned ??? hence, the double patterns. However, this means that there cannot be any chemical intermixing due to solvent or chemical component miscibility between the first and second resists.


Chemical freeze reagents/thermal cure resists


Two compelling methods to minimize the potential for intermixing in double patterning are the use of chemical freeze reagents or thermal cure resists. Chemical freeze employs a separate material that is dispensed on the first resist pattern, cross-linking ("freezing") the outside or entirety of the resist. A thermal cure process is where the first resist can be effectively cross-linked, or otherwise rendered solvent insoluble, with a high temperature bake after initial patterning is complete. The thermal route is more advantageous than chemical freeze within a manufacturing environment, as the introduction of an additional bake step (and subsequent cool step) is the only process change necessary for thermal cure (Fig. 1).










Figure 1. Thermal freeze double-patterning cuts down on processing steps and provides a better overall cost of ownership over many other double patterning techniques.

Recent work with the thermal freeze method using JSR thermal curable first resist, and the specially designed second resist, was presented at the 2011 SPIE Advanced Lithography conference. Initial pitch split work at a targeted 64nm pitch was demonstrated, showing the capability and robustness of the designed system (Fig. 2). Work at smaller pitches and tighter CDs is ongoing.










Figure 2. This figure demonstrates the use of thermal freeze where line 1 and line 2 are 32nm features at a 64nm pitch.

Negative tone development


Finally, negative tone development (NTD) is a relatively new technique that is showing much promise in double-patterning. Instead of the usual tetramethylammonium hydroxide (TMAH) developer used in lithography, an organic solvent is used instead. Photoresists that are traditionally rendered soluble in the exposed areas are instead soluble in the unexposed areas, leaving a negative tone image of a positive tone resist. It takes some time to navigate and conceptualize this new NTD technique with the milieu of double patterning schemes, but NTD shows strength in theory and practice.


We have found that an NTD process can work better than positive tone develop (PTD) in certain cases, such as contact holes, due to the higher aerial image contrast seen for these features. This "bright field" application has a better aerial image than does the conventional contact hole, which is done on a mask area with high chrome coverage ("dark field"). The downside is that using solvent as developer automatically incurs an initial increase in materials, and hence process cost. There can also be tool or even infrastructure costs associated using with this process.


Whereas NTD can show significant improvements in conventional resist patterning schemes, it can be more powerful in a double-patterning process. Low k1 imaging that was previously too difficult in single patterning, let alone double-patterning, can be accessed with NTD and then further sized down with double-patterning. The combinations of techniques available for double-patterning (thermal cure, chemical freeze, LELE, NTD, et al) make it a very attractive choice for manufacturers trying to bridge the gap between immersion and EUV.


Immersion resists and topcoat materials


An immersion topcoat is an additional layer atop the photoresist that protects the scanner from possible contamination. Additionally, this topcoat is used to manage the wafer / scanner interface through the manipulation of the contact angle of the film that comes in contact with the water lens from the immersion scanner. While, topcoats are in wide use today, there can be technical and economic drawbacks. Most topcoats are somewhat acidic and this can create more dark loss or resist top rounding of the profile. The extra processing steps incurred by the topcoat use are not minute and must be taken into consideration for throughput of a process flow. Finally, the cost-of-ownership of topcoats must be acknowledged: they add extra cost to an immersion process due to use of an additional material. However, these upfront costs can be balanced against the preservation of the immersion tool integrity, overall lower defect counts, and the enabling of a higher resolution patterning process that ultimately yields less expensive device production.


Beyond the use of topcoats is the advent of topcoat-less resists (Fig. 3). Here, no topcoat is used, but the photoresist is still qualified as immersion-capable with similar or better levels of defectivity and tool protection. The solution lies in the engineering of the topcoat-less photoresist formulation, which, if done correctly creates an in situ topcoat.










Figure 3. By tuning the contact angles of topcoat-less resists, material companies are able to improve the defect density seen on wafer post develop.

Topcoat-less immersion photoresists have the same set of requirements and properties as a photoresist that requires a topcoat but come as an added component to the resist and does not necessitate extra track processing steps to create an immersion barrier. However, while the economical cost benefits are readily apparent, technical challenges exist for topcoat-less resists. Examples of these challenges come in the need to precisely engineer the receding contact angle (RCA) of topcoat-less photoresist films to match the needs of advanced immersion tools sets and their scan-speed requirements of >500mm/s, while still making the film hydrophilic enough after exposure to have favorable dissolution rates in TMAH developer (Fig. 4).










Figure 4. A summary of the pro's and con's of the use of topcoat in immersion lithography vs. the use of topcoat-less resists

Spin-on silicon hard masks


As numerical apertures (NA) and ultimate resolution increase, depth-of-focus decreases, often leading IDM lithography layer owners to reduce photoresist thickness to gain back focus depth. This leaves less etch resistance for the pattern transfer into the underlying substrate. The aforementioned double-patterning, depending on which scheme is used, relies a great deal on advanced etch techniques to transfer resist, self-aligned spacer (oxide) or thermally/chemically cured resist patterns into the desired substrate. A simple bottom anti-reflective coating (BARC) does not typically offer sufficient etch resistance or selectivity for any of these processes.


One solution to these issues in immersion lithography and double-patterning is to use a hard mask directly beneath the photoresist that functions both as a pattern transfer layer and an antireflective material. Usually, a BARC layer provides reflectivity control, but it does not always have the necessary etch resistance. Ideally a silicon-containing layer with good reflectivity control would work best and such materials have been implemented in advanced development lithography groups around the world.


The spin-on silicon anti-reflective coating (or SiARC) material is placed between the resist and an underlying organic planarizing layer, also called carbon underlayer, forming a tri-layer scheme for ArF immersion lithography processes. The optical properties for the SiARC and underlayer can be individually tuned to match a particular lithography setup, minimizing substrate reflectivity into the resist as much as possible, typically below 0.5% or lower. When considering double patterning, a tri-layer approach can yield better combinations of reflectivity control for the second resist patterning step, where the first resist pattern now impacts and contributes to the local optical environment.


Where SiARCs separate themselves from BARCs is with the silicon content of their polymer. Utilizing variations of resins, such as siloxanes and silsequioxanes, the silicon content of the polymer can be >40%, which is nearly the same amount of silicon as chemical-vapor deposited (CVD) SiO2 films. Polymers with silicon content >40% results in a very hard etch mask compared to any organic spin-on BARC and enables the use of fluorocarbon etches that would not be of use with a BARC.


Modern SiARC materials offer much more than reflectivity control and better etch resistance. Early SiARCs, like BARCs before them, used high temperature post-application bakes, but are now baked in the 200-250??C range, to better complement process flows. SiARCs can even incorporate additives into their formulations to combat resist poisoning, increase resist adhesion, and reduce footing profiles ??? all giving a lithographer more flexibility when setting up the process.


The spin-on silicon hard mask material is packaged with spin-on organic hard masks (underlayers) to make process development easier and more tolerant of different resists or process conditions (Fig. 5).










Figure 5. A trilayer stack of photoresist, SiARC, and organic underlayer. These stacks are utilized to improve reflectivity control, provide planarization over topography, as well as provide etch selectivity to the underlying substrate.

It is evident that SiARCs, in combination with underlayers, are at the forefront of leading edge lithography film stack solutions because of the multitude of benefits they impart. Today's hyper-NA immersion systems necessitate the use of very thin resist coatings, and along with complex double-patterning schemes, require a more advanced anti-reflectivity solution than single or dual layer BARCs. The new SiARC materials are satisfying these needs, encompassing the requirements of reflectivity control, planarization over topography, etch resistance and selectivity, along with resist adhesion and poisoning prevention.


Conclusion


The chemistry of lithography is changing at a rapid rate to keep up with device scaling demands. While EUV is not yet ready for high volume manufacturing, a multitude of materials-based approaches have arisen to fill the exposure tool gap. Using immersion lithography to meet today's advanced technology node requirements can only go so far. Double-patterning, spin-on silicon hard masks, and topcoat-less resists are taking the industry the rest of the way. In a world where lithographic tools are standing (relatively) still, materials are leading the charge to meet and beat Moore's Law. And as always, the next generation of device requirements is already upon us, but materials development stands ready to answer the challenge.


References



  1. S. J. Holmes, C. Tang, M.E. Colburn, M. Slezak, B. Osborn, N. Fender, et al., "Optimization of pitch-split double-patterning photoresist for applications at 16nm node," Proc. of SPIE-The International Society for Optical Engineering 2011, (Advances in Resist Technology and Processing XXVIII).

  2. B. P. Osborn, K. Goto, V. Pham, M. Slezak, "Non-topcoat immersion resist advancements (poster)," LithoVision 2010 Nikon Precision Symposium, San Jose, CA, February 21st, 2010.


Biographies


Mark Slezak received his bachelor degree from California Polytechnic State University San Luis Obispo in Engineering and is the Director of Lithography Technology at JSR Micro, 1280 N. Mathilda Ave., Sunnyvale, CA 94089 USA; ph.: 408-543-8800; email [email protected]


Brian Osborn received his PhD and undergraduate from the University of Texas at Austin in Chemistry and is the Lithography Technology Supervisor at JSR Micro, 1280 N. Mathilda Ave., Sunnyvale, CA 94089 USA; ph.: 408-543-8800; email [email protected]


Solid State Technology | Volume 54 | Issue 8 | August/September 2011


More Solid State Technology Current Issue Articles

More Solid State Technology Archives Issue Articles