Issue



World News


06/01/2011







BUSINESS TRENDS

Top 20 MEMS foundries lag market; IDMs grab consumer, auto biz

MEMS foundries shared unequally in the MEMS market's robust 25% growth in 2010, according to rankings by Yole Développement.

Total combined revenues by the Top 20 MEMS foundries—which account for the vast majority of world's total MEMS foundry capacity—climbed about 10% last year, as companies doing internal production grabbed most of the big growth in key markets. STMicro captured nearly a third of the total, but there was plenty of reshuffling elsewhere: Silex is now the largest pure-play independent thanks to its via-first highly doped silicon TSV technology, Sensonor vaulted onto the list as a TPMS foundry spinoff, and TI slipped as user demand shifts to permanent inkjet printer heads.

Click to Enlarge

Top 20 MEMS foundries by 2010 sales estimates ($M). SOURCE: Yole Développement)

Large MEMS IDMs with 200mm lines and secured pipelines captured most new business, though, thanks to demand from consumer (e.g. smartphones) and automotive electronics, and large-volume chip foundries will gain ground: TSMC doubled its MEMS sales in 2010 to about $20M, other firms (X-Fab, Jazz, UMC) also saw "healthy growth," and SMIC and GF are planning inroads.

Nevertheless, there's still good business out there for specialty MEMS foundries serving lower-volume customers in higher-margin areas such as optical, telecommunications and biomedical applications. Nine such MEMS foundries now have revenues of >$20M (vs. five in 2006), and six of those now exceed >$30M/year.


 

WORLDWIDE HIGHLIGHTS

TSMC has rejoined SEMATECH as a core member to collaborate on semiconductor R&D for ≤20nm IC process technologies as well as 450mm transition work.

Ushio and Komatsu have agreed to give Komatsu full control of their Gigaphoton EUV JV.

Total wafer demand will increase 11.2% to 185.3M wafers (200mm equivalent), according to Semico Research.

The secondary market for chip manufacturing equipment surged 77% in 2010 to $6.0B, says a joint SEMI-Semico study.

US-based suppliers captured nine of the top 10 spots in 2010, the first year in which fabless IC sales growth tracked behind the total IC market (27% vs. 31%), says IC Insights.

AMERICAS

Applied Materials has agreed to pay ~$4.9B for Varian Semi. Equip. Assoc., widening its portfolio with implant technology for both semiconductors and solar PV.

SEMI president/CEO Stanley T. Myers will step down in 2011 after 15 years on the job.

Twenty-eight top suppliers earned Intel's SCQI and PQS awards in 2010.

Brooks Automation has sold its contract manufacturing business to Celestica so it can focus on non-frontend-semiconductor markets.

ASIA

SMIC will invest $1B in Wuhan Xinxin Semiconductor Manufacturing Corp., a 300mm JV with Hubei Science & Technology Investment Group.

Dueling NAND flash devices will ramp to HVM in 2H11: IM Flash's 20nm multilevel cell, vs. SanDisk/Toshiba's 19nm X2 flash.

Elpida is ramping production of a 25nm 2GB DDR3 SDRAM, with a 4GB version ready by year's end.

TSMC says it will launch its 20nm processes in 2H12, and expects to double its annual output over the next five years thanks to capacity expansions.

Taiwanese big chip and panel makers say they are putting workarounds in place to offset enforced water rationing.

India's government reportedly is pledging to spend Rs25,000 (>US$5B) for two chip manufacturing plants.

An Asia memory customer has ordered multiple ASM plasma-enhanced ALD reactors, and the company has qualified a new PEALD oxide application for a 2Xnm memory customer.

EUROPE

Peter Wolters has introduced new gap measurement technology for double-side silicon prime wafer polishing.

CEA-Leti is deploying EVG's litho and packaging tools in its 300mm cleanroom for 3D technology demos and low-volume pilot production.

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles