Issue



Product News


06/01/2011







Wafer scanner inspection

Click to Enlarge

The WS 3880—a replacement for the 3840 system, from Rudolph's acquisition of RVSI assets in 2008—provides critical inspection and measurement capability (randomly sampled or 100% inspection) for advanced packaging applications: micro and standard bumps, through silicon via (TSV) post-via-fill copper protrusions (nails), and re-distribution layers (RDL) used in 3D IC packaging. The base system provides high-throughput, image-based macro defect inspection, as well as measurements of bump diameter and position, RDL width, and other 2D parameters. A proprietary 3D laser triangulation technology measures bump height and coplanarity, RDL thickness, and more. The system permits on-line or off-line defect review and classification. Electronic wafer maps can be imported into the system, updated after inspection and exported. Rudolph Technologies, Flanders, NJ; 973/691-1300, rudolphtech.com.

Film stacks for touch panels

The AKT-Aristo Twin system for manufacturing touch-enabled displays (which can require up to 15 or more PVD film layers) features two independent vacuum processing tracks that can be controlled and operated completely independently to enable simultaneous fabrication of two different film stacks. It can handle up to 5.5m2 (2.2 × 2.5m) substrate sizes for glass- and rigid plastic-based advanced touch panels. Leading-edge rotary target technology enables much higher target utilization than conventional planar targets, and gives optimum film quality and particle control for high production yield. Applied Materials, Santa Clara, CA; 408/727-5555, appliedmaterials.com/display.

Inspection for transparent wafers

The nSPEC fully automatic optical inspection system for analyzing transparent and semitransparent wafers for defects has particular application in SiC and GaN epi wafers. Complete system automation with cassette-to-cassette loading of 2"-8" wafers is available. The system can be set up for repeated quality control testing or for single image capture or scans. Reports may include density maps, histograms, defect count and applicable statistics. Configuration options include wafer size, types of defects to identify, and scan resolution; various sample chucks are available. Nanotronics Imaging, Cuyahoga Falls, OH; 330/926-9809,  www.nanotronicsimaging.com.

TSV metrology system

Click to Enlarge

The FilmTek 2000M metrology system simultaneously measures critical dimension, etch depth, and film thickness for TSV and MEMS structures. It can determine TSV etch depth for via structures with diameters ranging from 1-100µm, up to a maximum etch depth of 500µm, with CD precision (1σ) <0.2%, etch depth precision (1σ) <0.3%, and film thickness precision (1σ) <0.005%. Measurement time is 3-8 sec per point. Scientific Computing International (SCI), Carlsbad, CA; 760/930-3992, sci-soft.com.

Packaged IC component inspector

Click to Enlarge

The ICOS CI-T620 is a fully automated optical inspector of IC packages for 3D measurements and package quality, with dual tapers for improved output. Devices are brought to the inspection module with 14 nozzles, with automatic pitch changeover; a camera measures the tray dimensions/tolerances and compensates for flex in the tray. Capabilities include 3D metrology inspection down to 5µm, <5min package changeover, and improved inspectability of surface defects and micro-cracks down to 40µm. It is compatible with package sizes ranging from 3×3 to 42.5 × 42.5. KLA-Tencor, Milpitas, CA; 408/875-3000, kla-tencor.com.

Sub-28nm dielectric film processing

The VECTOR Excel is a modular system developed for advanced technology nodes that require pre- and/or post-processing of dielectric films, such as diffusion barriers. The system reduces interconnect RC delay without introducing new materials into the back-end-of line (BEOL) dielectric stack. A remote plasma pre-treatment module (CLEAR) and dual pre-heat/pre-treatment spectral irradiance module (LUMIER) can be configured with various lamps with different frequencies. The company claims pre-treatment processing results in no carbon removal from the ULK layer, copper oxide and CMP residue are completely removed, and adhesion strength of the diffusion barrier to underlying layers is equivalent to results obtained using a conventional in-situ plasma treatment. Novellus Systems, San Jose, CA; 408-943-9700, novellus.com.

Photoresist dry strip

The Suprema XP5 photoresist dry strip system is a modular platform with a simplified vacuum-based wafer transfer architecture, featuring a combined vacuum loadlock and transfer module. Recipes can be run at higher source power; system packaging is improved to simplify and lower costs related to installation, maintenance, and serviceability. The company's patented Faraday-shielded ICP source technology coupled with 5KW RF source power provide a wider process window. Throughput is >430 wafers/hour, the company claims. Mattson Technology, Fremont, CA; 510/657-5900, mattson.com.

X-ray microscope

The VersaXRM-500 produces two-stage magnification, enabling the user to select magnification by changing objectives or by changing the geometric magnification. It offers non-destructive submicron resolution tomographic capability to visualize buried features without de-packaging, cutting, or otherwise destroying the device. It also provides view into deeply buried microstructures that may be unobservable with 2D surface imaging, such as optical microscopy, SEM, and AFM. It supports high resolution at large working distances suitable for in situ study using environmental chambers or load cells, and 4D (over time) or under varying environmental conditions. Xradia, Pleasanton, CA; 925/701-3600, xradia.com.

Drive modules for EtherCAT networks

The SPiiPlus UDMnt universal drive module operates as an EtherCAT slave device to any SPiiPlus EtherCAT master controller, directly driving one or two axes for AC servo, DC brushless, DC brush, closed and open loop 2- and 5-phase step motors. Applications for semiconductor manufacturing include wafer handling robots and positioning stages and optical inspection, as well as in FPD inspection. ACS Motion Control, Eden Prairie, MN; 763/559-7669, acsmotioncontrol.com.

Bench top plasma cleaner

The PE-50 XL plasma cleaner for a range of applications (solar cells, MEMS, wafer-level packaging) offers a larger chamber working area (8" × 8" x 4") and an implosion proof rectangular welded aluminum vacuum chamber. It includes a 5" × 5" horizontal electrode, 0-25CC/min needle valve flow control, and 125W @ 50kHz RF generator; options include a 100W @ 13.56MHz RF generator and automatic matching network, light bar, mass flow meter, and 7" × 7" electrode. Plasma Etch, Carson City, NV; 775/883-1336, plasmaetch.com.

Gas detectors

The WX Series of gas detection alarm controllers offers three versions for continuous monitoring of up to four/16/64 analog or digital gas measurements. They can be configured to receive and transmit wirelessly, with readings reported back to a primary alarm controller. Oldham SAS, Arras, France; +33 (0)3-21-60-80-80, oldhamgas.com.

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles