Issue



Product News


03/01/2011







Metrology tool for B:SiGe and HKMG

The EX-300 metrology tool targets front-end process control of 22nm and beyond technology nodes. A unique noncontact, nondestructive surface probing technique (low-energy electron-induced X-ray emission spectrometry, or "LEXES") addresses elemental composition, thickness determination, and dopant dosimetry. Target applications include high-k/metal gate (HKMG) metrology, chemical composition and thickness in strained silicon epitaxial layers (e.g. B:SiGe and P:SiC), and low-energy, high-concentration ultrashallow implants. New features include a LaB6 gun for higher brightness and denser small probe; improved light source and optics; a digital camera with zooming capabilities; and an electrostatic chuck to secure wafer clamping. The company claims reproducibility over five months for an average As dose of 1.97e15 at/cm2 with a global RSD (1σ) of 0.622%, and up to 5%-20% throughput improvements over its LEXFAB-300 model. Cameca, Gennevilliers, France; 33/0-1-43-34-62-00, www.cameca.com.

MOCVD for HB-LED

The TurboDisc MaxBright GaN metal organic chemical vapor deposition (MOCVD) multi-reactor system combines multiple, new, high-throughput MOCVD reactors in a modular two- or four-reactor cluster architecture, which achieve 25% higher throughput than the standard K465i model and 500% productivity gain, according to the company. Capacity is up to 216 × 2-in wafers, 56 × 4-in wafers, 24 × 6-in wafers, or 12 × 8-in wafers. Recipes can be seamlessly transferred from K465i to MaxBright tools. Veeco Instruments, Plainville, NY; 516/677-0200, www.veeco.com.

Wafer-like airborne particle counter

The WaferSense Airborne Particle Sensor (APS) for wafer processing equipment moves through semiconductor process equipment and automation material handing systems to detect airborne particles as small as 0.1µm in real-time. A fan pulls non-corrosive gas or air through a channel, a laser illuminates the air/gas stream while particles scatter light onto the sensors photodiode. Its wafer-like shape is compatible with front-ends, coater/developer tracks, deposition, and etch equipment. It is available in 200mm, 300mm, and 450mm special-order formfactors. CyberOptics Semiconductor, Wilsonville, OR; 503/495-2200,  www.cyberopticssemi.com.

LSA for 28nm HB-LEDs

The LSA101 laser spike annealing system has been upgraded to enable critical millisecond annealing applications for the 28nm node and beyond. New coherent optics generate a longer, more focused laser beam at the wafer plane, enabling up to 200% increased throughput vs. the LSA100A model. Annealing minimum dwell time has been reduced by a factor of two (200µsec vs. 400µsec), helping to avoid yield loss associated with wafer warpage and lithography overlay errors, according to the company. Ultratech, San Jose, CA; 408/321-8835,  www.ultratech.com.

Heated vacuum chuck for spray coating

A new heated vacuum chuck option for Ultrasonic's Prism spray coating system enhances control of thin substrate, wafer, foil, and membranes to achieve desired coating thickness and uniformity. It can be programmed to heat the substrate up to 150°C, and features a sintered aluminum chuck plate for an even vacuum draw across the entire chuck surface. Ultrasonic Systems, Haverhill, MA; 978/521-0095,  www.ultraspray.com.

RF test system

The PAx test system is specifically designed to address challenges in frontend RF high-volume device test, such as multiband RF power amplifiers, RF frontend modules, RF analog system-in-package, and RF discrete devices. It provides system level calibration and diagnostics, handler and prober interface options, and an integrated manipulator, in a footprint up to 70% smaller than other commercial ATE offerings, the company says. Features include RF port scalability to 40 ports and 8GHz (extendable to 18GHz) and up to 1024 mixed signal digital pins. Various configurations are available for DSP, DC, power, and SOC/SiP devices. LTX-Credence, Milpitas, CA; 408/635-4300,  www.ltxc.com.

Vacuum probing module for R&D

A vacuum probing module for the Probe System for Life platform enables device test at wafer level in a vacuum, e.g. for MEMS gyros in conditions that simulate the final package, without having to actually place the device in a vacuum package. Targeted use would be in university, startup, and R&D. SemiProbe, Winnoski, VT; 802/860-7000,  http://www.semiprobe.com.

Defect analysis for LEDs

The Klarity automated analysis and defect management system for LEDs delivers fast excursion detection and root-cause analysis than prevailing industry methods, the company says. Features include an intelligent statistical process control excursion and baseline monitor; flexible graphical analysis of common and adder defects; proprietary spatial signature analysis; dynamic signature count tracking; wafer map point-and-click access and an image gallery; and repeater defect detection. KLA-Tencor, Milpitas, CA; 408/875-3000, www.kla-tencor.com.

PECVD for thick TEOS

The Vector Extreme TEOS xT system is a derivation of the company's PECVD platform customized for depositing very thick TEOS dielectric films. Capabilities include fewer than 10 particle adders per wafer for >70nm defect sizes (exceeding requirements for 24nm memory devices) and throughput in excess of 300wph, the company says. Novellus Systems, San Jose, CA; 408/943-9700,  www.novellus.com.

Parametric test

Enhancements to the S530 line of parametric test systems include a new high-throughput switch mainframe, full Kelvin measurements at the probe card, new hardware protection modules to safeguard from high voltages, and "probes up" system specifications and diagnostic tools. Two versions of the S530 target low-current (e.g. for subthreshold and gate leakage) and high-voltage (e.g. for breakdown and leakage tests for GaN, SiC, and Si LDMOS power devices). Keithley Instruments, Solon, OH; 440/248-0400,  www.keithley.com.

Solid State Technology | Volume 54 | Issue 3 | March 2011

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles