Issue



EUVL resist and materials development for the 22nm node and beyond


12/01/2009







Executive OVERVIEW

Leading-edge semiconductor manufacturers have targeted 2011 for the pilot line introduction of extreme ultraviolet lithography (EUVL). This aggressive goal can be accomplished only if high power sources become available for both scanners and mask inspection tools. Equally important to the success of EUVL introduction are mask infrastructure and production-worthy resist/materials processes. Currently, consortia seem to have emerged as the preferred business model to facilitate EUV introduction. Because this model minimizes cost and risk, consortia may be the only organizations that can make EUV successful.

Warren Montgomery, CNSE assignee to sematech; Bryan Rice, Intel assignee to sematech, Austin, TX USA

To enable the 32nm half-pitch (hp) node, the industry introduced multiple variations of 193nm immersion double patterning (193i DP). When the decision was made to reduce the critical dimension (CD) used to make the highest speed microchips to 32nm, it was obvious that EUV was not ready. The target node for EUV introduction has, therefore, been changed to 22nm. As resist materials have been driven to resolve 22nm features at places such as sematech's Resist and Materials Development Center (RMDC), and the path to high power sources seems to be reasonably attainable, EUV insertion now appears a reality. Accordingly, sematech's lithography group has organized itself to facilitate the rapid introduction of EUVL.

The Lithography Division

sematech's Lithography Division comprises four technical focus groups:

EUV Lithography—Ensure member companies have the infrastructure elements required to support pilot line introduction by 2011, address issues hindering high volume manufacturing (HVM) introduction, and support extendibility of EUVL.

Mask Strategy—Ensure the availability of defect-free EUV masks by 2011 for 22nm hp by building infrastructure tooling for EUV mask and blanks.

Alternative Lithography—Assess the feasibility of alternative lithographic technologies to meet ITRS specifications for 22nm hp, and provide testing facilities for member companies.

Resist and Materials Development Center—Provide world-class exposure capability, and build the leading center for supplier resist and materials research to enable 22nm patterning technologies and beyond.

This organizational structure, particularly the RMDC, makes sematech uniquely positioned to address the challenges of introducing EUVL.

Focusing on photoresist, the RMDC houses all the EUV photon access available to sematech and, by virtue of its collegiate partners, resist associate members, and engineering staff, serves as the technology hub for all lithographic materials development capability.

Click to Enlarge
Photo 1. The Resist Outgassing Test Stand.

The RMDC is a vertically integrated organization containing the EUV ROX outgas testing tool (Photo 1); EUV exposure capability of the micro exposure tools (METs) at the College of NanoScale Science and Engineering (CNSE) in Albany, NY, and Lawrence Berkeley National Lab (LBNL; Photo 2); and an ASM Lithography full-field 0.25 NA alpha demo tool (ADT) at CNSE.

Click to Enlarge

Photo 2. The sematech 0.3 NA MET optic at Lawrence Berkeley National Laboratory's Advanced Light Source.

The RMDC has a 24/7 staff and a wide range of lithography support equipment. Recent technological advances in both EUV and 193nm imaging have been presented at the 2009 International Symposium on Extreme Ultraviolet Lithography and at the 6th International Symposium on Immersion Lithography Extensions.

Imaging and outgas testing capability

CSNE at SUNY Albany has developed and built a state-of-the-art outgassing test stand. With this equipment, resists and materials are qualified before being used on the EUV exposure systems (Photo 1). Data obtained using this system has been reported [1, 2].

The Albany Exitech MET (eMET), the Berkeley MET, and the 0.25 NA ADT provide imaging support to sematech member companies and research partners. The eMET, which is ideally suited for contrast curve generation, was originally conceived as a screening tool to allow resist manufacturers to do a coarse screen on a large quantity of samples. Today, it can easily accomplish a coarse screen of five or more samples, allowing the best candidates to be tested for maximum resolution on the LBNL MET. This system is capable of imaging in the 22nm range and lower.

Once the best candidates in terms of resolution, linewidth roughness (LWR), and photospeed have been identified, the materials are typically tested on the full field ADT. RMDC staff handles all the imaging and wafer processing, and may also analyze and provide the data to RMDC users. A typical user will receive outgassing results, contrast curve information, process window data, ultimate resolution, critical dimension uniformity (CDU), and LWR.

Click to Enlarge
Figure 1. Dipole and quadrupole illumination capabilities.

This year, more than 2000 wafers have been exposed on the eMET tool. Since an upgrade in January, the eMET has been delivering 90% availability (24/7). This performance has allowed the characterization of hundreds of resist and underlayer samples. Upgrades have also improved resolution. For example, illumination options were increased, thus allowing dipole and quadrupole illumination capability (Fig. 1, pg. 16). The eMET can now image 24nm routinely and shows modulation at 22nm (Fig. 2, pg. 18); future planned upgrades will drive resolution to sub-20nm levels.

Click to Enlarge
Figure 2. The eMET imaging at 24nm with modulation at 22nm.

Integrated resist development

Another important component of the RMDC is the university-based materials programs focused on the design and development of novel imaging materials. Photoresist manufacturers participate in materials development on college campuses worldwide, working side by side with their competitors to resolve precompetitive materials problems. The results of this collaboration are well documented and have yielded several conference papers and promising new photoresist formulations.

The group at Cornell recently presented a paper, "Tethered naphthalene derivatives as sensitizers for sequential two photon photoacid generators for double exposure photolithography" [3], at the 6th International Symposium on Immersion Lithography Extensions. This work is leveraging Cornell's synthesis capability and sematech's engineering/processing experience to drive the development of a less expensive double imaging approach.

sematech has also published results of its efforts in key EUV resist problem areas. In collaboration with CNSE, underlayers of a given resist were optimized, and specifically designed physical properties were investigated that can help improve the overall performance of thin resists [4]. A joint sematech/TOK presentation addressed the need for resist suppliers to develop test methodologies to predict the lithographic performance of their resist product, even though they do not have in-house EUV systems. The paper looked at photospeed testing of resist samples exposed using e-beam, DUV, and EUV to determine any possible correlation among the exposure regimes.

The ability to predict lot-to-lot dose and process window performance is a key deliverable from the photoresist manufacturer to the resist user. Determining whether photospeed testing is accurate is, therefore, critical for resist suppliers and users alike [4].

To work with the photoresist and lithography development community, sematech has started a Resist Associate Membership Program, through which photoresist developers, BARC makers, and other ancillary material manufacturers can gain access to sematech's technical expertise and tooling capability to facilitate materials development while fostering an atmosphere of cooperation with member companies. The Associate Member Program currently has two charter members that are powerhouses in the photoresist industry: TOK and Shin Etsu. Discussions are in progress with other suppliers to expand this number by the end of 2009. The synergies that can form as a result of these alliances cannot be quantified.

Conclusion

The Resist and Materials Development Center has accomplished several key milestones, among the most notable the addition of two new industry partners: Shin Etsu and TOK. Additionally, driving the resolution of the Albany MET exposure system to 22nm while delivering more than 100 hours of weekly imaging access has enabled the optimization of hundreds of photoresist samples. A number of high-resolution EUV resists have also been characterized lithographically and tested on the EUV outgassing system. The learning from this testing has enabled resist outgassing  specifications to be relaxed, thus allowing more resist to be tested using the ADT.

Finally, the etch resistance of resist formulations for 193nm applications has been improved, providing an opportunity to decrease layer-specific film thickness requirements. New, thinner resists will facilitate even higher resolution and a wider focus window for future process technologies. As the RMDC begins to integrate its resist formulations, outgassing results, and etch findings, more contributions to the industry can be expected.

References

1. Denbeaux et al., "Extreme Ultraviolet Resist Outgassing and Its Effect on Nearby Optics," Proc. SPIE 6921, (2008).

2. Denbeaux et al., "Quantitative Measurement of EUV Resist Outgassing," Proc. SPIE 6533, (2007).

3. 2009 International Symposium on Extreme Ultraviolet Lithography, Oct. 14-17 2009, Prague, Czech Republic.

4. 6th International Symposium on Immersion Lithography Extensions, Oct. 18-21, 2009, Prague, Czech Republic.

Biographies

Warren Montgomery received his MBA from City University, a BS in chemistry from Marist College as well as a BS in business administration from Mount Saint Mary College. He is Program Manager of the Resist and Materials Development Center at sematech, 257 Fuller Road Albany, NY 12203 USA; [email protected]

Bryan Rice received his doctorate in nuclear physics from Duke University as well as a bachelor's degree in physics and a master's degree in computer science from the Georgia Institute of Technology. He is Director of Lithography at sematech, 257 Fuller Road Albany, NY 12203 USA; [email protected]

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles