Issue



World News


11/01/2009







BUSINESS TRENDS

SEMI: Wafer shipments to surge 23% in 2010

After a two-year slump, silicon wafer shipments to semiconductor makers are poised to new record levels within the next two years, according to new forecast data from SEMI.

Wafer sales are expected to have hit "a low point" in 2009 with a -20% skid, following a -6% slide in 2008. But SEMI prognosticates wafer sales will jump 23% in 2010, and another 10% in 2011, exceeding the pre-meltdown 2007 levels.

Click to Enlarge

Total electronic grade silicon slices, millions of sq. in. Does not include non-polished slices. (Source: SEMI)

SEMI's new forecast excludes nonpolished, which averaged 30-40 MSI per quarter in 2009, according to Dan Tracy, SEMI's senior director of industry research and statistics. Taking that into account, wafer shipments in 1H09 were about 2566 MSI; so, to achieve the 6331 MSI target, the industry needs to reach 3765 MSI in 2H09—a 47% surge.

WORLDWIDE HIGHLIGHTS

Texas Instruments has qualified QFN at its new assembly/test facility in the Philippines' Clark Freeport Zone, about seven weeks ahead of schedule. More of the site's resources are currently dedicated to WCSP, but "the demand for QFN is steep, and we're ramping quickly," according to TI communications manager Richard Dotson.

ARM and Soitec say their 45nm HP test chip using SOI sports 40% power savings vs. a bulk LP process. ARM also will use GlobalFoundries' 28nm HK+MG process for its Cortex-A9 processor.

Anadigics is outsourcing some GaAs IC output to Taiwan's Win Semiconductors.

USA

TI is opening its RFAB in Richardson, TX, as a 300mm analog site, with tools bought from Qimonda's plant in Richmond, VA.

Novellus says it has developed a sequential profile modulation technique that eliminates the need for an ex-situ wet etchback step, enabling complete fill of 32nm structures.

MEMC has realigned its business structure to focus separately on semiconductor and solar markets.

Following a recent bankruptcy filing, Electroglas has auctioned off its motion control automation assets to FormFactor, and reportedly is poised to sell its prober biz for an unidentified bidder.

ASIAFOCUS

Elpida Memory has created a DRAM with ~8GB of storage by connecting eight 1G chips using through-silicon vias (TSV). A manufacturing line has been set up in Elpida's Hiroshima plant—reportedly a ¥5B investment for 10,000 wafers/month—with samples slated to ship by year's end, and 16Gb (eight layers of 2Gb) sampling in mid-2010.

EV Group says it has installed some of its Fusion 300mm wafer bonding systems at two CMOS image sensor plants in Asia.

Under a new contract, Applied Materials will support the entire toolset of Fujitsu Microelectronics' 300mm semiconductor factory in Mie Prefecture.

Süss MicroTec's lithography and bond cluster tools will be implemented in a 300mm demo production line of the ITRI's Advanced Stacked-System Technology and Application Consortium (Ad-STAC) in Taiwan.

NEC Electronics and Renesas have closed their twice-delayed merger, and will inject ~¥200B to jump-start the combined entity.

UMC says replacing C2F6 with C3F8, and later C4F8, reduced its CO2 emissions by 25% (410,000 metric tons) in 2008.

EUROFOCUS

Researchers at Leti say they have overcome incompatibilities of silicon nanowire catalysis with CMOS manufacturing, which could enable new functionalities such as sensing and energy production.

NXP is selling some of its CMOS IP to Virage Logic; new products are expected by early 2011.

IQE will acquire NanoGaN's processes and IP in a deal worth up to £3.6M.

French aerospace institute Onera will use a STS plasma tool for deep oxide etching in MEMS motion sensors.

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles