Issue



Product News


09/01/2009







Simultaneous FBE, DUV advanced film characterization

Click here to enlarge image

The S3000S metrology system simultaneous measurement with multi-wavelength, multi-angle focus beam ellipsometry (FBE) and deep ultraviolet (DUV) reflectometry for in-line process control of advanced diffusion and fab-wide thin film applications. High-intensity, long-life laser light sources provide superior stability. A small beam size enables measurements in small test sites. An optional MAControl module provides one-step, uniform, non-destructive removal of the molecular airborne contamination layer on thin films to allow more accurate thickness measurement. Rudolph Technologies, Flanders, NJ; ph 973/691-1300, www.rudolphtech.com.

VOC oxidizer for PV manufacturing

Click here to enlarge image

The patent-pending VOC Thermal Oxidizer is designed to destroy over 99% of volatile organic compounds (VOCs) from gases exhausted during the drying of photovoltaic metallization pastes in solar cell manufacturing, replacing remote abatement and VOC condenser options. The system mounts directly to the furnace to eliminate any risk of solvent condensation; oxidizers at the entrance and exit of the dryer section achieve more effective abatement with faster belt speeds. Despatch Industries, Minneapolis, MN; ph 888/337-7282, www.despatch.com.

450mm metrology stage

Click here to enlarge image

This 450mm R/Theta/Z polar coordinate stage consisting of a linear, rotary, and Z axis offers a compact footprint for inspecting 450mm wafers. A bridge configuration provides a stable platform for mounting a variety of metrology systems. Additional features include a built-in prealigner, independent load-unload stations, a low-contact vacuum chuck (1.7% of a 450mm wafer), and an option for a lift pin mechanism for measuring wafer bow. Micro Precision Automation, Richmond, CA; ph 510/215-0500, www.microprecisionautomation.com.

Photomask system for 193i-DP, EUV, NIL

Click here to enlarge image

The MaskTrack Pro photomask processing system supports next-generation lithography processes for the 22nm half-pitch node???193 immersion/double patterning, EUV, and nanoimprint???with particle removal down to 20nm while protecting 100% of the mask structures and materials. A combination of physical and chemical cleaning technologies enables effectively removal of organic/inorganic contamination without damaging features and material structures of the reticle. “Focused Spot Cleaning” precisely removes particles in defined areas of the mask, saving time after repair and eliminating overlay issues caused by backside contamination. A new design allows clustering of metrology systems and pod-in-pod stocking. HamaTech Advanced Process Equipment, Sternenfels, Germany; ph 49/0-7045-41-8, www.hapatech-ape.com.

Trio of 3X-2X inspection systems

KLA-Tencor has taken the wraps off three new tools for defect wafer inspection and review. In the 2835 brightfield inspection tool, a broadband illumination source allows selection of different wavelengths to suppress noise, or enhance the signal from defects; a redesigned laser-pumped plasma source makes the pattern brighter and improves contrast of pattern features. The Puma 9550 combines high-NA collection optics with a higher-power laser, a new image acquisition system, and innovative algorithms, to increase sensitivity-at-throughput by >30% vs. the previous-generation darkfield tool. The eDR-5210 has a redesigned electron optics column, relocating the top and bottom detectors above the lens to improve resolution to 1.9nm; both brightfield and darkfield information can be acquired in a single detector, which allows collection of data in a single pass. KLA-Tencor, Milpitas, CA; ph 408/875-3000, www.kla-tencor.com.

Tracking system for legacy wafers

SmartMove integrates Applied’s Activity Manager workflow automation software with Intellion AG’s LotTrack RF and ultrasound-based wafer carrier tracking technology, to wirelessly track and direct movements for 200mm and 300mm wafer lots. The company claims factory output is increased 10%. Applied Materials, Santa Clara, CA; ph 408/727-5555, www.appliedmaterials.com.

Yield enhancement software for solar fabs

With Yield Manager Solar software, data can be filtered by lot, ingot, substrate, wafer, and other parameters, so that engineers can identify and correct root causes of solar energy conversion efficiency and yield degradation caused by subtle fab processing fluctuations or instability. Magma Design Automation, San Jose, CA; ph 408/565-7689, e-mail [email protected], www.magma-da.com

Low-cost burn-in system

The LC-2 Logic Burn-In with Test System offers individual temperature control for 24 devices up to 20W for applications in engineering characterization, life testing, and production screening of logic devices. System capacity is 64 burn-in boards sized 12.3” x 24.” Micro Control Co., Minneapolis, MN; ph 763/277-9711, www.microcontrol.com.

CMP system for NGF

Features in the STB P300 CMP system include a new optical and motor current endpoint detection system for both metals and dielectrics; a new wafer carrier, pad conditioner, and integrated post CMP cleaning. Strasbaugh, San Luis Obispo, CA; ph 805/782-5383, www.strasbaugh.com.

More Solid State Technology Issue Articles