Issue



High-power EUV lithography lightsources come of age


09/01/2009







Executive OVERVIEW

Cymer’s laser-produced plasma (LPP) extreme ultraviolet (EUV) lithography source development program ??? and corresponding product roadmap ??? are closely aligned with the roadmaps of scanner manufacturers, with first-generation EUV sources planned for shipment in the latter half of 2009. Throughout the last 12 months, significant advancements in the development of the industry’s first commercial EUV LPP source system have been made: additional power scaling by increased duty cycle, burst duration, and running time; validating the debris mitigation techniques in the chamber to effectively protect the collector from reflectivity degradation and provide a reliable and robust method for preserving collector lifetime; overcoming thermal limitations at high duty cycle to extend operation of the EUV source from hours to days, and the completion of several high-reflectivity normal incidence collector optics with an average reflectivity for unpolarized EUV light of >50%.

Click here to enlarge image

David C. Brandt, Nigel R. Farrar, Cymer Inc., San Diego, CA USA

In this article, we discuss the key techniques demonstrated, and challenges overcome, to ramp the industry’s first production LPP EUV source systems for shipment to scanner manufacturers in 2009.

Key challenges in LPP source design

Cymer’s plasma chamber architecture was shown on p. 3, Fig. 1 in the November 2008 issue of Microlithography World. Tin droplets are emitted from a droplet generator in the wall of the vessel (see ref. #1 for the plasma chamber architecture description). Light from the CO2 laser is introduced into the chamber through a central hole in the collector mirror. The laser beam is focused and steered to impinge on the droplets using closed-loop feedback from the droplet targeting cameras that monitor droplet position. A plasma is formed at one focus of the elliptical mirror and light is collected and refocused to the second focus of the mirror, which is the IF position. Dynamic gas locks near the IF, and other containment components, prevent molecular transport from the source chamber to the scanner vacuum chamber. Any non-targeted droplets are collected in a trap.

The plasma chamber is integrated directly with the scanner body. This close coupling between the source and scanner is unlike current laser light sources and has required very close design interaction between Cymer and its direct customers. In the fab environment, the laser and support electronics are installed in the sub-fab and the output beam is directed through the fab floor to the plasma chamber.

In early 2008, the preparation of new class 10,000 clean-room manufacturing space, devoted to EUV purposes was completed. Installation of major subsystems was carried out, followed by extensive testing of the drive laser system and other major system components. Several source systems have been assembled in San Diego; the first production system is shown in the inset. The water-cooled chamber of the production systems is large enough in diameter to house a 5sr collector.

In-band conversion efficiency at the 3% target level has been demonstrated on these systems, corresponding to 100W of output power in short bursts. This was achieved with extensive development of the laser, the collector and droplet generator technologies. The droplet generator produces highly repeatable 30??m diameter droplet size and spacing, and a closed-loop laser targeting control system ensures that each laser pulse is optimally focused and accurately targeted on the corresponding droplet.

Initially, power scaling was focused on short burst power (~1msec), which was required to demonstrate that repeatable, high-conversion efficiency could be achieved using an integrated system of droplet targets. Burst power at low-duty cycle was scaled by two orders of magnitude over the 18 months since mid-2007. After it was clear that power within a burst was on a trajectory to meet the 100W target, focus was shifted to additional power scaling by increasing burst duration, duty cycle, and running time.

The EUV power at the plasma is measured with EUV detectors looking at the plasma and then recalculated to equivalent power at the intermediate focus using the following assumptions: 5sr collection angle with 50% average reflectivity, and 90% optical transmission to the IF. The output power performance of the LPP light source is currently characterized in terms of exposure power, which is the power within a burst of pulses lasting as long as a typical wafer field exposure (~400msec). This power level has been steadily improved at increasing duty cycle and operating times through incremental improvement of stability and thermal control, via water cooling the optical elements. The system is often run without any active control, yet still shows good intrinsic stability. (Graphs illustrating IF-equivalent output power vs. time, at 50kHz repetition rate in burst mode, and calculated average EUV power with the system running at a 5% duty cycle can be seen on pg. 4, fig. 3 in ref. #1.)


Figure 1. a) IF equivalent average EUV power vs. time. The source was operated at 50 kHz, 80% duty cycle with 400ms burst duration; b) Dose accumulation, exceeding 1MJ during 18 hours of operation at 80% duty cycle and 400ms burst duration.
Click here to enlarge image

Figure 1 shows the system running at 80% duty cycle. IF-equivalent exposure power above 20W using similar assumptions for collector and optical transmission was reached. Overcoming the thermal limitations at these duty cycles enabled demonstration of extended operation of the EUV source for 18 hours. Figure 1b shows a continuous dose accumulation of 1MJ of in-band EUV energy at IF. Such a dose level corresponds to the exposure of a batch of approximately 250 300mm-diameter wafers, assuming 10mJ/cm2 photoresist sensitivity. The new production systems are capable of high-duty cycle operation for extended periods of time and are presently being optimized for even higher-power operation at 80% duty cycle, with an ultimate target of 100%.


Figure 2. a) Far field EUV intensity distribution of 5sr collector; b) EUV power measured during 120 bursts of 400ms duration.
Click here to enlarge image

Figure 2a shows the first recorded image of a 5sr collector from behind the IF position. This image was taken with a CCD camera after conversion of EUV into visible light using a zirconium- (Zr-) coated Fluorescence Converter. Figure 2b shows a power level of 45???50W of EUV at IF over 120 bursts or about one 300mm wafer exposure. In this case, the operation conditions were 400ms burst duration and 40% duty cycle.


Figure 3. Reflectivity of the 5sr collector as measured with s-polarized EUV light of 13.5nm (squares). Corresponding data determined for unpolarized light (dots).
Click here to enlarge image

Another integral part of the strategy for high-power LPP output is the high-reflectivity, high-collection-angle mirror (collector). This collector has a 5-steradian collection angle and is >600mm in diameter. It is coated with many silicon-molybdenum (SiMo) multilayers, similar to the scanner optics mirrors, to reflect a small band around the target wavelength of 13.5nm. Two key differences in the mirror are the need for a graded multilayer spacing from center to edge, to compensate for changing incidence angles, and a mutilayer design that will resist interdiffusion (and loss of reflectivity) at the high temperatures likely to be experienced in the source chamber. Several 5sr mirrors have been completed and the resulting reflectivity measured, an example is shown in Fig. 3. The area weighted average reflectivity for unpolarized EUV light is 51%.

Technology to enable COO

To deliver acceptable COO for the source, it is essential to maintain high power, high collection efficiency, and clean transmission over long periods of time. This requires technology within the plasma chamber that prevents degradation of mirror reflectivity from three main sources of debris: deposition of tin particle debris from the droplets; erosion of the mirror by high-energy ions and neutral atoms; deposition of tin from vapor.

The first is mitigated primarily by the source vessel’s geometric design. Buffer gas significantly reduces erosion rates by mitigating the ion flux incident at the mirror surface by up to 4 orders of magnitude, and the ion energy by about one order of magnitude. Buffer gas is better than electric or magnetic mitigation schemes in that it will also mitigate neutral atom sputtering of the mirror. Deposition must be almost entirely eliminated because >1nm of deposited tin results in unacceptable reflectivity loss. Debris mitigation techniques in the chamber can effectively protect the collector from reflectivity degradation and provide a reliable, robust method of preserving collector lifetime.


Figure 4. 5sr collector after 600 million pulses (nine hours of exposure).
Click here to enlarge image

After 9 hours and 600 million pulses of exposure (Figure 4), no loss of reflectivity or change in the distribution of EUV intensity was detected. This fully integrated system demonstration was performed on the newest production tool with full debris mitigation in situ, and continuous non-interrupted operation over nine hours with operating conditions of 400msec burst duration and 40% duty cycle.

Cymer’s source development program and product roadmap are aligned with the scanner manufacturers’ roadmaps. First-generation production EUV sources will be available in H2 2009 for scanner suppliers. These systems will meet requirements for beta-generation scanners, with in-band EUV output power of greater than 100W, using an 11kW CO2 laser system and Sn droplets with a 3% conversion efficiency. Transmission losses due to absorption and debris mitigation techniques are projected at <20%. These power requirements will be met before exposure systems are delivered to chipmakers in 2010.

Conclusion

By the time EUVL is introduced into production, it is expected that the technology will meet all of the requirements of the commercial semiconductor capital equipment industry. Later systems for high-volume production are expected to operate with a source power above the 200W level. Further development and engineering of EUV sources is planned for many years to come, with progressively higher power and lower COO systems being delivered to support the roadmaps of both the scanner manufacturers and chipmakers through the next several device nodes.

Reference

D. Brandt, N. Farrar, “Development of a Production EUV Source,” Microlithography World, Fig. 1, p. 3, Nov. 2008.

Nigel Farrar, Cymer Inc., 17075 Thornmint Ct., San Diego, CA USA 92127; ph.: 858-384-5527; [email protected].

More Solid State Technology Issue Articles