Issue



Product News


07/01/2009







Looking for new products being debuted at SEMICON West? We found a few, though pickings were slim at press time. Here’s a quick list of who’s showing what:

CyberOptics Semiconductor,
North Hall, booth #5761

The WaferSense airborne particle sensor (APS) wirelessly monitors airborne particles in process equipment to validate and analyze wafer contamination in real-time to reduce wafer scrap and improve die yield. The wafer-like, automated, and vacuum-compatible APS identifies particles and their exact location in a process as mechanical and gas events are cycled, including in and around tools, transfer areas, front-ends, track tools and chambers. Initial testing has shown sensitivity to detect 0.1µm particles. The system will be available in 2H09 in 300mm and 200mm form factors, with 450mm versions to be available by special order.

Click here to enlarge image
Rudolph Technologies,
South Hall, booth #1621

The MetaPulse-G thin-film metrology system targets copper damascene process from 45 to 22nm and copper via fill in 3D ICs. Features include a green wavelength ultrafast laser, optimized for copper applications, that delivers higher signal/noise ratios and measurement repeatability better than 0.3% at throughputs of 60-80 wafers/hour. A 10× 10µm spot size can measure films within 30 × 30µm or smaller test sites, and in active die on product wafers at high-volume throughputs. A time-resolved acoustic signal can be used in active die in the absence of special underlying test pads.

Sonoscan, South Hall,
booth #407

Click here to enlarge image

The C-SAM series AW300 for bonded wafer inspection carries out robotic handling and acoustic imaging of bonded wafer pairs up to 300mm in diameter. Twin transducers scan two wafer pairs simultaneously, while the two previously scanned wafers are dried and returned to their carriers. An industry standard equipment front end module (EFEM) is SECS/GEM ready, accommodates single or multiple load ports, and utilizes either vacuum or edge grip end effectors. The scanner system utilizes linear motors and is inertially balanced for vibration-free operation.

Confluense LLC,
South Hall, booth #2209

The Pad Surface Manager pad conditioning system claims to improve chemical mechanical planarization (CMP) consumable utilization efficiency by >300%, and reduce particle adders and defects by 30-80%. The pad is cleaned in situ immediately after it contacts the wafer, removing spent slurry, pad debris, and by-products and preventing them from being recycled back under the wafer and ensuring fresh slurry at the wafer surface at reduced feed rates. Bi-directional control of the conditioner downforce maintains high removal rate with minimum cut rate, reducing pad debris and extending both pad and conditioner life. Effluent streams are separated by slurry concentration to reduce waste treatment load and DI water recycling costs.

EV Group,
North Hall, booth #5547

The EVG770 UV-based nanoimprint (NIL) step-and-repeat system addresses large-area master fabrication for optical applications and replication of high-resolution features. It supports hard and soft UV-NIL as well as micro-contact printing applications for wafer sizes ranging from 100mm to 300mm. Features include a dual-stage alignment approach, a high-precision wafer stage for sub-50nm placement accuracy, the ability to imprint in a vacuum, and support for semi- and fully-automated wafer and template transfer. Other applications include the manufacture of waveguides, ring resonators and R&D nanoelectronics applications (i.e., dual damascene and contact holes).

Finetech,
South Hall, booth #712

The Fineplacer Lambda, with ±0.5µm placement accuracy, will be shown in a manual configuration capable of sophisticated die-attach tasks such as bonding of flip chips, MEMS, MOEMS, and sensors on substrate sizes up to 180 × 136mm. A FA7 heating plate offers a 50 × 50mm heating area, high ramp rate, excellent thermal conductivity, very low thermal expansion, and optional heated inert gas integration. Applications include eutectic soldering, Au/Sn soldering, thermo compression, thermo-/ultrasonic bonding, adhesive technologies, and MEMS/MOEMS placement.

Kyzen,
South Hall, booth #910

The Aquanox A4520 aqueous cleaner for flip chips and advanced packaging is effective on all lead-free, no-clean, and eutectic materials when run at low temperatures and low concentrations — including lead-free flux, tacky flux, reflowed paste, no-clean flux, RMA flux, OA paste, oils, fingerprints, light oxides, and polymerized soils. Features include a long bath life, RoHS compliance, no CFCs or HAPs, and it is biodegradable.

Synopsys, South Hall,
booth #2221

The Fammos software tool analyzes stress evolution for the entire interconnect fabrication process, using design database and process to perform 3D backend process simulations. It predicts interconnect stress distributions from multiple stress sources (intrinsic, thermal-mismatch, grain-growth, and externally applied) and accounts for proximity effects, and can detect stress hot spots that are susceptible to debonding, voiding, and cracking, and employs a set of physics-based models to evaluate reliability failures. Fammos generates stress distributions to mitigate reliability issues during through-silicon via (TSV) fabrication and 3D IC stacking, and computes stress-induced mobility changes in transistors in proximity to TSVs.

Technical Manufacturing,
North Hall, booth #5765

The Stacis FP active vibration cancellation floor platform system suits use with scanning electron microscopes (SEMs). Features include sub-1Hz cancellation, extended stroke piezoelectric actuators, and damped, powder-coated steel plates sandwiching 4 isolators and a digital controller. An active hard-mount floor platform fits most commercial SEMs, and the tool is compatible with all internal SEM vibration isolation systems. The <400lb system supports more than 2500lbs with no soft air suspension.

Virtual Industries,
South Hall, booth #817

Several vacuum handling systems will be on display. The TV1000 vacuum handling tool handles a variety of optics, ball lenses and SMT parts sized 250µm to several inches in diameter, with a long-life diaphragm vacuum pump that generates up to 10” of mercury with an open air flow of 2.3 lpm. The Stealth-Vac Elite vacuum pen operates directly on compressed air or nitrogen, with air conservation features; vacuum level can be controlled by adjusting the input pressure. The Porta-Wand Elite with PEEK wafer tip handles up to 200mm wafers or solar cells. A Push Button Vacuum Wafer Wand accepts any of Virtual’s press fit tips for handling solar cells or semiconductor wafers.