Issue



World News


05/01/2009







Few unscathed in worse-than-thought 2008

A month ago Gartner pegged preliminary 2008 capex at a -25% slide to ~$33.46B. Turns out the firm was about $3B on the high side—its “final” tally pegs 2008 semiconductor capex at just $30.7B, down -31.7% from 2007.

With the combined impact of memory oversupplies and then a demand crash across the entire industry, 2008 will go down as “one of the worst years in the history of the semiconductor capital equipment industry,” noted Klaus Rinnen, managing VP for Gartner’s semiconductor manufacturing research group, in a statement.

There was little change among the top 6 firms, though growth declines varied as some tool types and suppliers did notably better than others. Lithography segments, for instance, rode demand for 193nm DUV immersion steppers, sinking -25% (-29% for track tools), better than the industry average; ASML leapfrogged TEL as the No.2 company in Gartner’s rankings thanks to this trend.


Top 10 worldwide semiconductor manufacturing equipment vendors (US $M). Data includes revenue from acquisitions in 2008 for the entire year; 2007 data is before acquisitions. Growth is organic as well as through acquisitions. (Source: Gartner)
Click here to enlarge image

In backend segments, packaging/assembly sunk -24.5%, with more traditional die-level packaging taking the brunt of it (-30%+ Y/Y for interconnect and die bonder sales), while advanced packaging lithography and wafer-level packaging tools “eked out growth.” SoC test and RF test “remained strong” in the automated test sector, which overall gave back more than 31%; not surprisingly memory test demand plunged -60%. (VLSI in its year-end rankings had noted Teradyne’s performance was largely due to its early-year acquisition of NexTest.)

WORLDWIDE HIGHLIGHTS

Global shipments of polysilicon surged 41% from the start of 2008 to year’s end, thanks to new capacity coming online—and even the late-year meltdown across the semiconductor industry and beyond didn’t curtail growth, according to data from SEMI.

Global PV output surged to 5.5GW in 2008 according to preliminary data from the European Photovoltaic Industry Association (EPIA). Meanwhile, the US-based Solar Energy Industries Association said domestic PV manufacturing capacity increased 65% vs. 2007, and production rose 53%.

Applied Materials and DISCO plan to develop wafer thinning processes for fabricating through-silicon vias (TSV) for 3D chips, using Applied’s etch/dielectric deposition/PVD/CMP systems and DISCO’s grinding tools.

FlipChip International and SMIC have agreed to align their technology and product roadmaps for 300mm bumping solutions at a number of technology nodes.

As planned, Axcelis Technologies has closed the sale of its 50% interest in Japanese JV SEN to partner Sumitomo Heavy Industries, with a chunk of the $122.3M in proceeds used to pay off overdue notes obligations.

USA

The Semiconductor Test Consortium has dissolved and transferred its infrastructure and Semiconductor Test Interface eXtensions (STIX) working group to SEMI’s new special interest group, the Collaborative Alliance for Semiconductor Test (CAST). The STC’s OPENSTAR trademark and logo will be transferred to Advantest.

Qimonda’s 300mm/65nm-capable, 38,000 wafer starts/month fab in Richmond, VA is officially up for sale; if a buyer is not found, a tool sale will quickly follow.

Rubicon says it has grown the world’s largest sapphire crystal—a 200kg (441lb) “super boule,” more than twice the company’s previous efforts—from which it can make optical components and wafers larger than 300mm.

WRS Materials has acquired Isonics Semiconductor Group, adding 90nm particle-grade reclaimed wafers and expanded 200mm-300mm production, and has secured $9.9M in funding.

3D IC packaging startup Imbera has secured $15M in Series B funding, which will be used to bring online a high-volume manufacturing operation in Korea and support R&D activities in Finland

Portland, Oregon reportedly is a finalist for a new $1.1B investment from Siltronic and an unnamed European investor to build a new factory, according to a local paper citing “an internal city e-mail.”

SUNY/Albany’s College of Nanoscale Science and Engineering (CNSE) has opened a new $150M NanoFab East center, part of a $1B package from IBM and partners of which the bulk will go toward 22nm-node development.

ON Semiconductor has licensed 110nm technology from LSI Logic for a new ASIC platform, to be produced in its wafer fab in Gresham, OR.

ESI and Zygo have called off their merger proposed in Oct. 2008; as a result Zygo will pay $5.4M in breakup fees, and an additional $1.2M if it finds another buyer within six months.

Akrion Systems has appointed COO Michael Ioannou as president of the company, replacing Jim Molinaro who left to pursue other interests.

ASIAFOCUS

It’s official: Taiwan Memory will partner with Japan’s Elpida for DRAM technology. But despite buzz about finding room for everyone in Taiwan’s proposed DRAM restructuring, Micron CEO Steve Appleton says “the deal that’s OK with Elpida is not OK with us,” so it’s seeking to raise $450M from selling stocks and notes.

Japan says it will end a three-year old punitive import duty on Hynix chips later this month after determining the company no longer benefits from state or federal government aid.

Toshiba reportedly is considering procuring solar panels from Sharp and other suppliers to strengthen its push into the PV sector.

Nippon Steel is nearly ready to ramp output and sales of single- crystal silicon carbide (SiC) wafers, the first Japanese company to reach full production of the technology.

Despite rumors of a “large-scale business redeployment,” Intel’s Dalian plant is still on schedule, according to a GM cited by a local paper; construction of six main buildings is almost complete, and a data center will be online within days.

Local reports suggest China is working on a plan to establish a “union” in its silicon materials industry to help cope with the ongoing financial crisis.

Hitachi and Mitsubishi Electric are injecting $571M into their Renesas JV via a new shares issuance.

Elpida has acquired a portion of ownership from Taiwan’s Powerchip Semiconductor in their DRAM JV, Rexchip, enough to make it a 52% owner and thus convert the JV to a consolidated subsidiary.

ENN Solar Energy Co. Ltd. has produced China’s first 5.7m2 tandem junction thin-film photovoltaic solar panels on an Applied Materials SunFab line.

EUROFOCUS

The European Patent Office has revoked a patent from the U. of Neuchatel ???s regarding amorphous silicon PV technology, which had been licensed to Oerlikon—and was the crux of a suit against Sunfilm, which uses Applied Materials’ SunFab tools.

CEA/Leti and IBM have signed a five-year deal to pursue development of materials and processes for 22nm CMOS and beyond.

Applied Materials has signed a five-year contract to support its wafer processing systems at Tower Semiconductor’s Fab 2 200mm manufacturing facility in Israel, “at a low, predictable cost.”

EV Group and CEA/Leti have formed a joint development program to use EVG’s 300mm temporary bonding and debonding technology for work on TSVs and 3D integration.

Soitec says its “Smart Stacking” circuit stacking capability, which transfers thin layers of processed wafers onto a variety of materials, is ready for manufacturing and licensing.

Despite Freescale’s choice to shutter its operation in East Kilbride, Scotland, National Semiconductor says closures in its other sites means more work will be coming to its facility in Greenock.

The Linde Group says it has a new long-term contract to supply gases for next-generation thin-film PV module production at Masdar’s manufacturing site in Erfurt, Germany.

Merck says it will sponsor work on semiconductor nanoparticles from Israeli startup QLight Nanotech for use in display applications.

Under a new pact, Infineon will supply components and a technology license to Robert Bosch for low-voltage power transistors.

Weeks after ASMI agreed to drop swords with key investor Hermes, hedge fund Centaurus Capital has lowered its ASMI stake to <5%, down from 7.22%. Two new board members also have been nominated, one to replace Leon van den Boom who resigned citing “diverging views” with the company’s direction.

ARISE Technologies says its Line 2 in Bischofswerda, Germany has produced its first monocrystalline PV cells on schedule, with an efficiency range of ~15%-16%.