Issue



Product News


01/01/2009







Greener wafer manufacturing

Dyneon’s Adona emulsifier eliminates the use of ammonium perfluorooctanoate (APFO)???a salt derived from perfluorooctanoic acid (PFOA)???from production of fluoropolymers, which the EPA has mandated to be eliminated in 2015. Fluoroelastomers and perfluoroelastomers are used in semiconductor wafer manufacturing, notably in sealing applications requiring chemical and thermal resistance. The emulsifier also is suitable for recovery, recycle and re-use using Dyneon’s existing advanced containment technology, enabling recovery of the emulsifier from liquid dispersion products. Dyneon, Oakdale, MN; ph 651/733-5353, www.dyneon.com.

High-volume GaN ICP etch

Click here to enlarge image

The RIE-330iP high-volume GaN inductively coupled plasma (ICP) etch system targets anisotropic etch of all types of semiconducting, insulating, and metallic films. It is equipped with 330mm trays and can process 27 20", 17 2.5", or seven 4" wafers, roughly 30%-40% increased productivity vs. other systems with 300mm trays. A “symmetrical shielded tornado coil” enables +/- 3% uniformity with an associated etch rate of 120nm/min. A cassette-to-cassette version of this system is also available. SAMCO, Sunnyvale, CA; ph , www.samcointl.com.

High-res microscope

The iVista LC high-resolution digital microscope delivers images with resolution comparable to a 16mp color CCD, with unlimited digital zooming to provide detail and not just pixilated images. An automated objective changer allows switching from a navigation-magnification to a higher one for closer inspection and laser cutting; a standard laser port is available for mounting to laser cutters from all major manufacturers. An optional polarizer analyzer unit is included for liquid-crystal thermography applications. Suss MicroTec, Dresden, Germany; ph 49/89 32007 395, www.suss.com.

Modulated pulse ionizing bar

Click here to enlarge image

The Ion Systems AeroBar MP Model 5625 offers efficient elimination of static charge in flat-panel display and related applications. A modulated pulse technology lowers swing voltage, reduces discharge times, and prolongs cleaning cycle times vs. conventional pulsed AC products. A high-frequency sine wave technology produces a continuous, high level of ion generation that enhances the product’s ability to repel airborne molecular contaminants. MKS Instruments, Andover, MA; ph 978/645-5500, www.mksinst.com.

Doped diamond deposition

The Model 655D CVD diamond deposition for doped diamond applications features a hot filament technology that incorporates electrically conductive diamond (resistivity from .05 to 10O-cm and “excellent” thickness uniformity), enabling applications such as implementing thin-film diamond in coated electrodes for water treatment and electrochemistry. Two dopant flow ranges offer flexibility to tailor processes with specific electrical characteristics. Adding gas loops to the baseline diamond deposition process enables delivery of boron dopant via hydrogen carrier gas. sp3 Diamond Technologies, Santa Clara, CA; ph 408/986-9190, www.sp3diamondtech.com.

Doubling DRAM test capacity

The DC-Boost test technology enables more efficient use of tester channels on test equipment to double the number of devices that can be tested simultaneously. It also minimizes drops in voltage that could lead to over- or under-testing of devices, and enables device test in isolation to obtain precise voltage measurements. The technology is being offered on FormFactor’s PH150XP and Harmony XP probe cards for DRAM wafer testing. FormFactor, Livermore, CA; ph 925/290-4681, www.formfactor.com.

Halides for scintillation

The new line of high-purity halides enables growth of scintillation detector crystals to improve performance in detection of X-rays, [gamma]-rays, and radioactive particles. The crystal growth halides line includes thallium iodide, as well as high-purity sodium and cesium iodide, improving upon traditional doped alkali halide materials. Target applications include X-ray and emission tomography, homeland security devices, and particle detection in high-energy physics and astronomy. Lanthanum and cerium bromides, as well as barium, strontium, and europium halides, are also available. SAFC Hitech, St. Louis, MO; ph 978/374.5200, www.sial.com.

PV workstation

The PV IsoStation series workstation offers a 36"??60" ergonomic workspace specifically for PV test and development applications, offering storage and shelving for instruments, solar simulators, and other devices. A specially treated worksurface (with a black Formica-covered composite material) reduces light reflectivity by a factor of six compared to typical optical table surfaces. Newport, Irvine, CA; ph 949/863.3144, www.newport.com.

Seal resistance to corrosive processes

The Chemraz XTR perfluoroelastomer, created in collaboration with Daikin Industries, targets highly corrosive fluorine environments (i.e., ClF3 and NF3), offering the highest available chemical resistance to thermal cleaning processes utilizing ClF3, notably ALD of nitride-based film deposition (e.g. TiN). Stability is maintained in temps ranging from -20°C to 300°C (-4°F to 572°F), and it can be used with bonded seals. Compression set capability is 31% (70 hrs @ 300°C @ 25% compression). Greene, Tweed, Kulpsville, PA; ph 636/536-0569, www.gtweed.com.

Wafer-level probe software

The ProberBench Operating Environment is designed for efficient, intuitive, and safe wafer-level probing. Development of the interface and architecture was reportedly based on a three-month user study in the laboratories semiconductor design houses and manufacturers, who expressed needs such as less confusing interfaces, automated procedures, and easy-to-read feedback. The software suite includes a control center that provides instant feedback about wafer and probe positions. A SPECTRUM vision system supports up to four live video feeds, including a horizontal view of the probe tips and wafer for eliminating probe card and wafer damage and an upward-looking camera for viewing the tips of fine-pitch, vertical probe cards. An optional tool automatically aligns the wafer and generates a wafer map. The tool is designed to communicate with test executive software including Agilent’s IC-CAP, Keithley’s KITE, ProPlus’ BSIMPro, and many others. Suss MicroTec Test Systems, Dresden, Germany; ph 49/89-32007-395, www.suss.com.

High-powered ultra-violet laser

Click here to enlarge image

The Q304-HD high-powered ultra-violet (UV) laser provides 50% more power (>11W at 355nm) than its predecessors, with a “best in class” beam quality M2 <1.2, without using additional electrical consumption due to an enhanced laser design. Resulting higher throughput translates to higher rate of micromachining functions such as hole drilling, wafer cutting or singulation, and solar cell processing. It offers process control and flexibility for a wide range materials and machine integration conditions. JDSU, Milpitas, CA; ph 408/546-4567, www.jdsu.com.