Issue



The year ahead: a time for innovation


01/01/2009







Peter Singer, Editor-in-Chief

Technology-driven growth is still possible in the current downturn, according to SST’s poll of executives from across the semiconductor manufacturing supply chain. Even during an economic slump, they remain optimistic about two things: the continuing need to innovate, and the ineveitable upturn.

Perhaps it is from decades of riding the notoriously cyclical roller coaster that is the semiconductor industry, but most of the executives from the supplier community that contributed their perspectives for this forecast issue believe that within chaos lies opportunity.

The semiconductor industry is getting battered; a one-two punch from a naturally occurring down cycle combined with an unprecedented global financial crisis. Increasingly skittish consumers are not buying electronics with the usual gusto, and semiconductor demand is down. Chip makers have put most capital expenditures on hold and are aggressively looking to reduce operating costs. It is likely that R&D expenditures will also be impacted, although it is not yet clear by how much.

Click here to enlarge image

“The current economic crisis is forcing semiconductor companies to dramatically reduce capex and opex spending, including R&D investment,” said Tony Chiang, CTO, Intermolecular, Inc., San Jose, CA. “While these are necessary near-term responses, a prolonged reduction in R&D investment can have long-term negative consequences, including delayed product introductions and reduced competitiveness.”

Click here to enlarge image

While some speculate that a prolonged recession could be so severe it derails the ITRS timetable, the good news is that historically, recessions have been potent times for research, development, and innovation. “Though we find ourselves in a deep cyclical downturn made worse by chaos in international financial markets, IC manufacturers must continue to make critical investments in new and emerging technologies that will define the competitive landscape when the next upswing materializes,” said Scott Becker, VP of Marketing, FSI International, Chaska, MN. “Technological innovation has been the lifeblood of our industry since its inception. We have grown used to surmounting insurmountable barriers with creative solutions to often unanticipated problems. Though capacity requirements will not drive purchases as long as this downturn persists, we believe that leading manufacturers must and will continue to acquire the new technologies they will need to assure a competitive advantage in the next up-cycle.”

Click here to enlarge image

“Chip companies will not buy tools to increase capacity,” said Arthur W. Zafiropoulo, Chairman & CEO, Ultratech, Inc., San Jose, CA. “Instead, increased implementation of next-generation technologies will take a greater percentage of their budgets, and chip makers will purchase equipment with leading-edge technology. Companies will spend money on breakthrough technology that enables them to manufacture devices at advanced nodes when the recovery arrives.”

Bob Akins, co-founder/CEO, Cymer, San Diego, CA, says the same is true of equipment suppliers. “During extreme times like these, semiconductor equipment suppliers that will fare best will be those that do three things exceptionally well: 1) invest in leading-edge technologies and next-generation tools to meet the smaller, but ongoing, demand from chipmakers for leading-edge production and advanced process development; 2) introduce new and innovative ways to lower the cost-of-ownership (COO) and increase the efficiency of their customers’ installed base of tools; and 3) leverage the above with lean and efficient business operations management to maintain strength of balance sheet,” Akins said. “Suppliers who excel at these endeavors will be best positioned to realize the benefits when worldwide economic conditions improve and the semiconductor industry recovers.”

Click here to enlarge image

Bill Bintz, SVP of Product Marketing, Axcelis Technologies, Inc., Beverly, MA, adds that “if there’s one constant in the industry, besides the cycles, it’s that technology continues to march on. IC manufacturers are determined to continue advancing their technology roadmaps, which call for rolling out 32nm or 28nm devices, to maintain their competitive edge. Downturns are never easy, but by investing in technology and controlling costs, companies can position themselves for better business conditions in the future,” Bintz said.

Similar sentiments were voiced by Larry Dulmage, co-founder, VP Sales and Marketing, Crossing Automation, Mountain View, CA. “Downturns are nothing new to the industry, whose cyclical nature is a given. An economic downturn often represents opportunities for equipment suppliers and IC manufacturers to review new approaches that offer benefits both in terms of manufacturing cost and efficiency. From that view, this downturn is no different. While some companies may struggle, others will thrive as innovation continues to drive the industry forward.”

Improving efficiency

One way to improve efficiency is through closer collaboration between equipment suppliers and their customers, according to Abdi Hariri, Group VP, Customer Support Business Group, Lam Research Corp., Fremont, CA. “Maturing of the industry has already brought significant efficiency in a number of areas. Many tool sets already deliver high productivity. Factory automation, sophisticated scheduling tools, and a host of productivity advances are already in place. To capture additional efficiencies and maximize equipment effectiveness, suppliers will need to play a more strategic role through high-trust relationships with semiconductor manufacturers in providing innovative solutions close to fabrication sites.”

Hariri said knowledge management systems are becoming an increasingly important source of installed-base learning, facilitating the delivery of point-of-use solutions at significantly reduced cycle times. “By leveraging designed-in equipment intelligence, global learning networks, and supplier tool expertise, significant equipment optimization can be achieved. Best-known methods (BKMs) for a range of equipment operations, including productivity solutions, can be efficiently deployed through service and training programs and used to develop new cost-management strategies,” he said.

Click here to enlarge image

The struggle to reduce costs and improve efficiency comes at a time when the semiconductor value chain is struggling to cope with the increasingly complex technologies and expenditures needed to develop leading-edge CMOS products. “Though the nature of these challenges is not new, their intensity is unprecedented,” notes Howard Ko, SVP/GM, Silicon Engineering Group, Synopsys, Inc., Mountain View, CA. “These challenges are fostering innovative ways to address technology, product development, and manufacturing, among them the proliferation of alliances to share development costs and pool the intellectual capital needed to address rising complexity.”

Ko said that in the midst of today’s value-chain realignment, a new trend has emerged as a cost-effective way to manage and implement technological complexity: predictive computational manufacturing. “The companies that effectively utilize computational manufacturing will be better prepared to take advantage of the various technological options at their disposal. They will also be better equipped to generate the quantitative data necessary to make critical process architecture and technology option selections as they refine and implement processes for production. Whether it’s exploring device options such as high-k/metal gates or FinFET structures, or selecting the optimum lithography (extreme ultraviolet (EUV), e-beam direct-write (EBDW), etc.) or resolution enhancement technology, proficiency in computational manufacturing will become a key determinant of a company’s success.”

Click here to enlarge image

Martin van den Brink, EVP, Marketing & Technology, ASML, Veldhoven, The Netherlands, also believes the coming year will bring a shift toward manufacturability for design (MFD). “Fortunately, the industry has the technology in place and the ability to accomplish this shift in production almost immediately. Crucial to this ability is computational modeling and analysis of patterning. These techniques, coupled with double patterning, will allow current systems to address the early 22nm node,” he said. Computational lithography can be leveraged to apply full-chip modeling and analysis to fleets of optimized scanners on the fab floor, by modeling the unique performance characteristics of each scanner. “By combining these models with existing knowledge of tunable scanner parameters, each system can be quickly optimized for each layer and design,” van den Brink said.

Click here to enlarge image

Close collaboration with suppliers will also be critical in the materials area, according to Geoff Irvine, Director, Commercial Development & Marketing, SAFC Hitech, St. Louis, Missouri. “Collaborations are essential if the industry is to evaluate every possibility in terms of materials. With chemical suppliers, OEMs and IDMs collaborating to solve the challenges of introducing novel materials and techniques to relatively new industrial requirements, new molecules are being designed, along with the processes that ensure that they can be introduced in an acceptable economic format. COO is, therefore, not only important, but also a concern, as a number of the materials being looked at from a device physics standpoint are, in some cases, rare or precious metals,” Irvine said.

It won’t look the same

While suppliers are optimistic that investments in new technology will continue during the downturn, and that tighter collaborations with their customers will emerge, most agree that the semiconductor industry and its supply chain will look quite different a year from now.

Click here to enlarge image

Nick Konidaris, President & CEO, ESI, Portland, OR, says the semiconductor industry is characterized by innovation and fierce competition. It is also filled with management that has taken risks to advance technology and outperform its competitors. “I think that these characteristics are going to drive the industry to forge ahead and continue on its race with Moore’s Law. For the first half of 2009, I believe the industry will begin taking small steps toward growth that won’t be realized until the second half of the year.”

Konidaris said some of this growth will be the result of industry restructuring. “Companies with a strong cash position can become even stronger, while those without a strong balance sheet will get weaker. As a result, companies will need to be even cleverer in searching for additional opportunities to leverage their core competencies, and many will consider strategic mergers and acquisitions as a growth path. By combining complementary technologies, these restructured companies will be strategically positioned to provide unrivaled competitive advantages and expand on its customer base. I believe we will see more companies choosing this option to prepare for growth in the upturn.”

Words of wisdom

Click here to enlarge image

Mark Melliar-Smith, CEO, Molecular Imprints, Inc., Austin, TX, provides a few well-chosen words of wisdom. “We must fight our tendency to overreact with poorly planned cost cutting and a hunkering down, and rather, remind ourselves that from adversity comes opportunity. The technical challenges facing semiconductor makers include new materials such as high- and low-k, new processes such as through-silicon vias (TSVs) and strained silicon, and the omnipresent end of optical lithography,” Smith said.

Mike Plisinski, VP/GM, Data Analysis and Review Business Unit, Rudolph Technologies, Inc., Bloomington, MN, adds that while everyone will be challenged to maintain profitability during the downturn, “Unfortunately, times such as these can also increase aversion to perceived risk, resulting in missed opportunities for incremental but significant gains. It is tempting to adopt an “If it ain’t broke don’t fix it” attitude. We would do well not to neglect the lessons of the automotive industry in which competitors who embraced a philosophy of “constant improvement” demonstrated once-and-for-all the superiority of that approach over one that aspired only to be “good enough.”

Solar bright spot

Click here to enlarge image

Despite the downturn in the semiconductor industry, execs at suppliers most notably see bright spots in other markets. Fred Yentz, CEO, ILS Technology LLC, Boca Raton, FL, says that as a result of the current climate, “businesses are increasingly turning their attention towards clean and renewable sources of energy, particularly solar power. The volatility in the fossil fuel market and the increasing desire to adopt more environmentally friendly practices is propelling the boom of the solar-module manufacturing industry,” Yentz said. “The industry could soon see growth on a scale similar to what the semiconductor industry experienced in the ‘80s and ‘90s.”

Andreas Widl, CEO, Oerlikon Leybold Vacuum, Cologne, Germany, said clean technologies, and specifically photovoltaics, remain a bright and promising spot on the economic horizon, despite the spreading global economic downturn in financial and industrial markets. “In 2009 and beyond, solar-module fabs will compete for quick ramp up and maximum efficiency and yield???just as semiconductor fabs began to do in the 1980s. The solar industry will benefit strongly from the lessons learned and achievements of the semiconductor sector,” Widl said.

Editor’s Note: For the full perspectives from these and other executives, please check our website at www.solid-state.com.