Issue



Executive viewpoints: 2018 outlook


2018-03-05 09:31:44

Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2018.

Enabling the AI Era with Materials Engineering

Screen Shot 2018-03-05 at 12.24.49 PMPrabu Raja, Senior Vice President, Semiconductor Products Group, Applied Materials

A broad set of emerging market trends such as IoT, Big Data, Industry 4.0, VR/AR/MR, and autonomous vehicles is accelerating the transformative era of Artificial Intelligence (AI). AI, when employed in the cloud and in the edge, will usher in the age of �Smart Everything� from automobiles, to planes, factories, buildings, and our homes, bringing fundamental changes to the way we live

Semiconductors and semiconductor processing technol- ogies will play a key enabling role in the AI revolution. The increasing need for greater computing perfor- mance to handle Deep Learning/Machine Learning workloads requires new processor architectures beyond traditional CPUs, such as GPUs, FPGAs and TPUs, along with new packaging solutions that employ high-density DRAM for higher memory bandwidth and reduced latency. Edge AI computing will require processors that balance the performance and power equation given their dependency on battery life. The exploding demand for data storage is driving adoption of 3D NAND SSDs in cloud servers with the roadmap for continued storage density increase every year.

In 2018, we will see the volume ramp of 10nm/7nm devices in Logic/Foundry to address the higher performance needs. Interconnect and patterning areas present a myriad of challenges best addressed by new materials and materials engineering technologies. In Inter- connect, cobalt is being used as a copper replacement metal in the lower level wiring layers to address the ever growing resistance problem. The introduction of Cobalt constitutes the biggest material change in the back-end-of-line in the past 15 years. In addition to its role as the conductor metal, cobalt serves two other critical functions - as a metal capping film for electro- migration control and as a seed layer for enhancing gapfill inside the narrow vias and trenches.

In patterning, spacer-based double patterning and quad patterning approaches are enabling the continued shrink of device features. These schemes require advanced precision deposition and etch technologies for reduced variability and greater pattern fidelity. Besides conventional Etch, new selective materials removal technologies are being increasingly adopted for their unique capabilities to deliver damage- and residue-free extreme selective processing. New e-beam inspection and metrology capabilities are also needed to analyze the fine pitch patterned structures. Looking ahead to the 5nm and 3nm nodes, placement or layer-to-layer vertical alignment of features will become a major industry challenge that can be primarily solved through materials engineering and self-aligned structures. EUV lithography is on the horizon for industry adoption in 2019 and beyond, and we expect 20 percent of layers to make the migration to EUV while the remaining 80 percent will use spacer multi- patterning approaches. EUV patterning also requires new materials in hardmasks/underlayer films and new etch solutions for line-edge-roughness problems.

Packaging is a key enabler for AI performance and is poised for strong growth in the coming years. Stacking DRAM chips together in a 3D TSV scheme helps bring High Bandwidth Memory (HBM) to market; these chips are further packaged with the GPU in a 2.5D interposer design to bring compute and memory together for a big increase in performance.

In 2018, we expect DRAM chipmakers to continue their device scaling to the 1Xnm node for volume production. We also see adoption of higher perfor- mance logic technologies on the horizon for the periphery transistors to enable advanced perfor- mance at lower power.

3D NAND manufacturers continue to pursue multiple approaches for vertical scaling, including more pairs, multi-tiers or new schemes such as CMOS under array for increased storage density. The industry migration from 64 pairs to 96 pairs is expected in 2018. Etch (high aspect ratio), dielectric films (for gate stacks and hardmasks) along with integrated etch and CVD solutions (for high aspect ratio processing) will be critical enabling technologies.

In summary, we see incredible inflections in new processor architectures, next-generation devices, and packaging schemes to enable the AI era. New materials and materials engineering solutions are at the very heart of it and will play a critical role across all device segments.



Supporting increased demand for automotive semiconductors

Screen Shot 2018-03-05 at 12.24.58 PMPat Lord, group vice president and general manager of the Customer Support Business Group (CSBG) at Lam Research Corporation.

There are many exciting growth and innovation opportunities in semiconductors. One such area is the automotive industry, where recent advances in technology are transforming this sector. Electric vehicles � not long ago considered somewhat impractical � are becoming more common, and the avail-
ability of autonomous vehicles may soon be a reality. Another key area that has carmakers� attention is the development of electronic systems to improve safety, performance and convenience. Given these needs, demand for a wide range of integrated circuits (ICs) for use in vehicles has grown significantly. In fact, the automotive segment is now forecast to be the world�s fastest-growing electronic systems market through 2021.

Addressing the technical requirements of automotive applications is challenging as they involve a broad spectrum of devices. These include chips to support vehicle connectivity, advanced infomatics, navigation systems and sensors (microelectromechanical systems or MEMS, RADAR and ultrasonic, and optical/infrared image and light detection and ranging or LIDAR). Furthermore, in electric and hybrid vehicles, advanced power modules and discrete devices are needed for applications ranging from power inversion to rapid battery charging.

At the same time, these more complex electronic systems are no longer limited to higher-end cars and are now being included in a range of vehicles that have a significantly lower price point. With this change, demand is growing for both new and novel ICs as well as established ICs such as sensors, analog chips, and microcontrollers. Many of these devices can be readily fabricated by 200 mm or 300 mm fabs that are running automotive qualified processes well above the 28-nm technology node. As such, these fabs are well-positioned to capitalize on the auto industry�s growing chip demand, provided they can achieve reliable, cost-efficient production. These needs can be addressed with productivity and process enhance- ments that have been developed for existing systems as well as the ability to add capacity with new and refurbished equipment.

Although many of these applications do not require the most advanced chip-processing capability, they are, in fact, driving a great deal of innovation in IC fabri- cation. Significant investment is being aimed at deliv- ering enabling solutions that improve the performance of automotive electronics. For example, wide bandgap semiconductors used in high-temperature and power- switching applications are now being developed for use in automotive electronics. These include SiC MOSFET devices for compact integrated power modules and improved battery charging solutions based on GaN high-electron mobility transistor (HEMT) devices. At Lam Research we are continuing to support these new device requirements with enabling process capabil- ities for these new materials.

The future also holds significant promise, driven by the increasing desire for semi-autonomous and autonomous vehicles. To realize this goal, a paradigm shift is needed in both the sensing and communica- tions capabilities of vehicles and the processing and memory content of automotive electronic systems. For 2018 and beyond, clearly many opportunities exist in automotive for our industry in providing technology innovation and fabrication solutions.



Materials science creativity is paving the road to innovation

Screen Shot 2018-03-05 at 12.25.06 PMDr. Terry Brewer, president and CEO, Brewer Science

Brute-force methods for achieving incremental growth will continue to be the focus of our industry in 2018. Growth in China through government investment and fab construction will ensure this fact. But, the leadership our industry must rely on is its people, its organizations and their combined individual and collective creativity to deliver new material designs that will solve our current circuit density challenges. These materials will then transcend these obstacles� opening doorways to new science and technology integrations.

The current market drivers of simplicity, balance and accessibility will continue to take the front stage as technology becomes increasingly seamless with human existence. Steve Jobs, Gordon Moore and Albert Einstein have shown us that solutions must be elegant to be sustained. To this point, companies and individuals that put their focus on deep science and material design will bring about technologies that drive sustainable economic growth. A brief glance at the stone, bronze, iron, steel, plastics and silicon ages show how this is true. By the end of 2018, we will already know what this new material age might be.

To foster economic, environmental and societal sustainability, our industry will be more present in encouraging people to embrace deep science through the support of STEM education programs. No longer will education and industry be separate, nor will we see the traditional technology transfer push of post-secondary institutions as the leading driver of innovation. Industry has recognized the need for new creativity, and 2018 will see visible evidence of industry nurturing even more creativity within their own organizations as well as our youth; both inside and outside the classroom. STEM education will be supported in the classroom, but also not be confined by it.

2018 will once again see growth in our industry. Incre- mentally more transistors, incrementally smaller features, incrementally increased investment. Many of the methods used will be familiar to us, such as mergers and acquisitions, and investments from Asian governments. But, the real story in 2018 will be realized by at most two or three years from now when we reflect on the new, creative materials design threads being sewn into the fabric of our industry and ultimately woven into our daily lives. The future will validate that the material design leaders of 2018 will pave the road for the sustainable economic growth of tomorrow.



New technological trends will increase the focus on sub-fab operations

Screen Shot 2018-03-05 at 12.25.15 PMPaul Rawlings, President, Semiconductor Division, Edwards Vacuum

It has been a good year for the semiconductor industry. We have seen high levels of investment across all sectors: memory, logic, discrete and foundries. Looking forward, we see expansion continuing well into 2019. Reducing total cost-of-ownership, improving safety and limiting negative environmental impacts remain primary drivers across the industry and continue to drive our product development at Edwards.

There are several technological trends that we expect to impact sub-fab operations. First among these is the dramatic growth projected for 3D NAND memory products. Flammable process gases requiring high dilution rates, larger tools with 5-7 multi-wafer chambers, and longer process cycles will all contribute to higher gas flows and increased risks. Integrated vacuum and abatement systems can provide higher capacity and energy efficiency in a single enclosed module that is inherently safer and more reliable. Etch process steps are also growing longer and more numerous, requiring advanced protective coatings to extend the lifetimes and improve the reliability of the harsh duty pumps used to with corrosive gases.

In another area, we are expecting significant growth in the number of EUV systems coming on line in 2018, introducing, for the first time, critical vacuum requirements in photolithography process modules. Finally, we are seeing dramatic expansion in the application of data-based fleet management techniques to optimize performance and reliability in the sub-fab. All of these trends can only be addressed effectively by complete solutions that begin with detailed analysis of specific process requirements and develop into a comprehensive support model throughout the product life-cycle.

On the human side, our industry is challenged to meet the increasing needs for talented and well-educated technologists, particularly in regions of rapid growth. We at Edwards are committed to playing our part in encouraging young people into Science, Technology, Engineering and Math careers and encourage everyone in the industry to support the initiatives the SEMI Organization is making in this area to develop this critical talent pipeline.



3D NAND requires new approaches to automated metrology and process control

Screen Shot 2018-03-05 at 12.25.23 PMJack Hager, Sr. Product Marketing Manager, Materials & Structural Analysis, Thermo Fisher Scientific

NAND memory manufacturers will continue their rapid transition from planar to three-dimensional (3D) stacked architectures, with 64- and 96-layer devices expected to come into full production in 2018. This transition is driven by the significant advantages offered by 3D NAND in both size and cost. Stacking multiplies the number of bits that can be stored in the same footprint, thus reducing cost per bit in a process where costs are roughly proportional to the area of the device on the wafer. It also relaxes the resolution require- ments on photolithographic processes, permitting the use of less expensive tools and technologies. The market for high capacity 3D NAND currently comprises solid state drive and mobile applications, but this space is expected to expand.

As high layer-count 3D NAND moves into production, manufacturers are looking for metrology and process control solutions that can measure the critical dimensions (CD) of the very high aspect-ratio tube-within- tube vertical structures used to trap charge and connect individual memory cells across many layers. Critical dimension scanning electron microscopy (CD-SEM) is limited by its top-down point-of-view and challenge to see below the surface. Optical techniques can look below the surface, but are limited, especially in development and early ramp phases, by the need to develop complex models based on empirical data from the measured structures.

Existing subsurface techniques are continuing to evolve to provide solution pathways. Focused ion beams (FIB) can cut conventional or oblique cross sections to reveal structural information at varying depths to measure with a scanning electron micro- scope (SEM). This technique takes advantage of the relatively large dimensions of particular 3D NAND features to collect sub surface data quickly. Another approach creates thin-section samples in the horizontal plane (planar) at various depths for imaging in a transmission electron microscope (TEM). The TEM approach provides much higher resolution and the ability to enhance contrast among the multiple concentric layers inside each �container� by adding information from other analytical signals, such as Energy Dispersive Spectroscopy (EDS). Both approaches are being enhanced to provide automated, robust and repeatable process control insights.



Perspectives from a materials supplier

Screen Shot 2018-03-05 at 12.25.30 PMAdam Manzonie, Dow Electronic Materials, Slurry Business Director

2017 was a very active year for the semiconductor industry with strong loading across mature nodes, and strong ramps in advanced logic and memory. Many suppliers were put to the test just to keep up. Analysts don�t expect the same ramp rate in 2018, but they don�t expect utilization to drop off either. In addition to demands on volume, our customers are looking for efficiencies in their manufacturing operations. It�s also more evident than ever that suppliers must be able to deliver on consistency and quality.

This has become a critical challenge for the industry. Emerging needs for 3D integration (FinFET, NAND, TSV) make processes more challenging for our customers leading to considerably tighter product specifications. Manufacturers must be confident their integrations are solid and processes are tightly controlled. Our customers place a high level of trust in us to supply consistent high-quality materials, in increasingly large volumes, so they can produce sophisticated devices to meet end market demand.

The need for quality and consistency hasn�t replaced the need for innovation. Successful suppliers position themselves to offer close collaboration with customers to understand emerging requirements and address new performance needs. Our advanced CMP pads, for example, deliver the benefits of hard and soft pads together to achieve unprecedented levels of planarization and defectivity. Our CMP slurry offerings can lower manufacturing costs by increasing process throughput and efficiencies, while at the same time driving defect levels down by an order of magnitude. Here we see historically conflicting needs becoming not just �nice-to-have,� but mandatory, to enable new device technology.

CMP is also becoming more pervasive with advancing technology. FinFET integration has brought on a host of new polishing steps in advanced logic, some with material combinations we haven�t seen before. 3D-NAND configurations incorporate significantly more polishing steps compared to historical planar technology. The growth of TSV has been steady and now too is evolving into completely new polishing needs for advanced packaging. These emerging needs are driving Dow�s development of new products targeting advanced applications, including new metal slurries that will hit the market in 2018.



Our density destiny? Precisely.

Screen Shot 2018-03-05 at 12.25.38 PMChris Davis, Co-founder and VP Sales & Marketing, Reno Sub-Systems

In some ways, little changed in the industry focus in 2017, nor will it in 2018. We continue to seek higher performance from smaller devices that consume less power and work within the constraints of the downward price pressures of our industry�but we�re having to look to new technology adoption to do it. We�re doubling, tripling and even quadrupling the number of layers in our chips to meet our goals. And it�s all for the sake of density. But, as always, serious challenges lie ahead.

Since the outcome of a more precise process is repeat-ability, that goal drives our work. For our part, we see that vacuum control and measurement have kept pace with industry needs, but gas-flow control, radio frequency (RF) matching network and solid-state power-generation technologies have not. For us, �precision� means applying exacting standards to the science behind our �pulsing� deposition and etch technology, to exponentially improve process quality and speed. For others, it may mean higher materials compatibility. For some, it may mean controlling nano-contaminants. We believe all these areas will make great strides this year and next. And they have to, in order to help us reach our industry goals for performance and yield in new dimensions at advanced nodes. Overall, we are optimistic on growth and can see it continuing through 2020, at least.

Highly complex designs at smaller geometries require rigorous controls that reduce process variabilities. Process control is key. For 2018, we see advance- ments in this area from our OEMs and process- enabling partners. A key area that will improve and help optimize leading-edge yield and performance is executional precision, which is what Reno is enabling equipment and device manufacturers to achieve.

In summary, subsystem technologies for RF power and precision flow controls are undergoing a generational change. This shift is essential to keep pace with the density destiny required for global drivers like big data, autonomous everything, AI and IoT.