Issue



Table of Contents

Solid State Technology

Year 2010
Issue 7

COLUMNS-

Editorial


Where Have All the New Apps Gone?

Pete Singer, Editor-in-Chief


Industry _forum


Etch pushes limits of physics and chemistry

Richard A. Gottscho, Lam Research Corp.,


DEPARTMENTS

World News.html


World News


Technology News


Back-side illumination, wafer-scale optics drive 2??-5?? jump in CMOS image sensor performance

It's not just 3D packaging technology where CMOS image sensors are driving IC technology these days.


Technology News


SEMATECH outlines maskless issues, proposes consortium

Among key takeaways from SEMATECH's Litho Forum in New York City in March was a proposal to create a consortium to support multibeam mask writing efforts, similar to what's being done for EUV.


Technology News


Samsung tips 32-28nm HKMG plans

Samsung's announcement that it has completed testing of its 32nm high-k/metal gate architecture, ramping to volume possibly by year's end???and following quickly with a 28nm version???has the industry buzzing about a possible reshaping of leading-edge semiconductor foundry manufacturing.


Product News.html


Product News


FEATURES

Cover Article


DUV inspection and defect origin analysis for 22nm spacer self-aligned double-patterning

Tracing defects from the lithography step through the SADP process flow to the spacer open step can significantly increase the capture rate of critical defects at the earlier steps. Ofir Montal, et al, Applied Materials Inc.


Advanced Process_and


Improving etch performance using in situ gas flow monitoring and control

Improve etch equipment performance through in situ gas flow monitoring and control. Mukund Venkatesh, et al, Pivotal Systems; Kevin Boyd, IBM.


Yield Optimization


Avoid throwing darts at a black hole by using diagnosis-driven yield analysis

Layout-aware scan diagnosis combined with dedicated statistical analysis is an effective diagnosis-driven yield analysis flow. Geir Eide, Mentor Graphics Corp.


Defect Detection_and


Holistic substrate inspection for defects at the 32nm node and beyond

A holistic strategy can help to find and correct process-induced defects. Philippe Gastaldo, Altatech Semiconductor S.A.


Cycle Time Reduction


Focus on product quality key to cutting cycle times

The closed-loop approach to quality reduces cycle times and other key manufacturing metrics and also provides the top-line benefits that product quality drives. Karim Lokas, Camstar Systems, Inc.


Fab Management_software


Technical debt in semiconductor equipment: it's time to pay it down

This is a good time to take stock of your development readiness and how well legacy software assets will support the product roadmap. Dan O???Connor, Foliage.


Metrology


Production metrology of advanced LED structures using high-resolution X-ray diffraction

The latest advances in HRXRD technology to allow true in-line monitoring, are described. Paul Ryan, et al, Jordan Valley Semiconductors UK Ltd.


Ehs


Pyrolysis-electrochemical sensor for monitoring carbonyl sulfide levels in ambient air

A pyrolyzer-electrochemical cell-based sensor can detect COS (as H2S) from tens of ppm up to 100ppm. Dan Chase, et al, Matheson Tri-Gas Inc.