Table of Contents
Solid State Technology
Year 1998 Issue 6
| FEATURES
Feature Products DUV and i-li ne steppers
The FPA-3000EX5 DUV stepper has a projection lens with an NA of 0.63 and easily resolves 0.22-?m features over an exposure area of 22 ? 22 mm with conventional photo processes. Optical performance extends to 0.18-?m design rules with the use of process enhancements and the CQUEST II off-axis illumination mode, yet its platform allows mix-and-match compatibility with existing i-line tools - and the advantage of using 5? reticles. Throughput is >90 wafers/hour (8 in.). The FPA-3000i5+ i-line stepp
Feature Products 300-nm wafer marking
The Waferlase Ultra 300 is designed for pilot or production marking requirements of 300-mm wafers; it is based on the proven Lasertech laser technology, field-tested on some 6000 marking systems worldwide. The system features single or dual FOUP loaders; an integrated minienvironment; field-proven beam steering electronics, for high accuracy and repeatability; GEM/ SECS II; Windows-based fill-in-the-blank software; a pick-and-place robot with electronic prealigner; integrated post-mark verificat
Feature Products Dry-in/dry-out planarization
The Auriga C integrated dry-in/dry-out planarization system polishes and cleans wafers in the same footprint as existing dry-in/wet-out tools. The five-head polish tool meets stringent performance requirements and has high throughput. The cleaner module is designed as a seamless enhancement to the existing Auriga footprint. It uses
Feature Products Microlithography cluster
The Polaris 2500 microlithography cluster has been designed for the needs of 0.18-?m photoresist processing. The thermal process stations are packaged in six bake/chill and prime/chill pairs, vertically stacked in a single module. This increases the capacity of the central handling system, so that a single robot can support >90 wafers/hr for DUV processing. System throughput can be increased to
Feature Products RTP polysilicon deposition
Designed for rapid thermal process (RTP) polysilicon deposition, the Epsilon 2500RTP uses silane as the silicon source gas and hydrogen as the carrier gas, a combination that yields good performance at low cost. Factors that contribute to low cost of ownership include growth rate (of medium to heavily doped silicon), layer uniformity, run-to-run repeatability, in-situ reactor cleaning efficiency, and use of an atmospheric pressure process. At atmospheric pressure, process conditions can be selec
Feature Products 300-mm wafer surface analysis
Developed specifically for 300-mm wafer analysis, the VCA-3000mp provides fully automatic video contact angle analysis to test critical wafer surface conditions during multiple stages of the semiconductor manufacturing process. It is used for identifying surface contamination, adhesive and primer preparation, coating assessment of the HDMS process, and analyzing coating quality and uniformity. The VCA-3000mp is a user-independent workstation - test point location, droplet size, dispensing, and c
Feature Products FIB/SEM workstation
The DualBeam XL860 FIB/SEM workstation features robotic sample handling and active environment compensation, combined with very high-resolution SEM imaging and rapid, precise FIB milling. It is designed for process evaluation, yield management, and failure analysis, supporting design rules to 0.18 ?m and beyond. Its software automatically handles the complex interrelationships between SEM and FIB operation, allowing the operator to concentrate on analysis of the results. With Class 1-compatible
Feature Products PVD system
The Sigma fxP PVD system clusters mature Sigma process modules around an eight-sided, high-throughput platform. It achieves >300 hrs MTBF and >90% uptime thanks to a clampless processing philosophy and long-life shielding kits. Process solutions are available for manufacturing schemes down to sub-0.18-?m dual damascene. For sub-0.5-?m W-plug liner applications, the Hi-Fill Ti/TiN module uses clean, production-proven long throw technology. For Al plug-fill, the fxP offers Al reflow complemented b
Feature Products 300-mm foup
The SMIF-Pod 300 front opening unified pod (FOUP), a reliable and cost-effective wafer carrier solution for 300-mm wafer fabs, meets the needs of =0.18-?m devices and offers good seal performance, process control, washability, and cost of ownership. Its static dissipative feature eliminates electrostatic discharge events in the pods. Additional pod features include ultralow outgassing and a patented pod latch system that optimizes locking security without particulate generation. Integrated SEMI-
Feature Products Post-etch polymer and residue removal
These noncorrosive photoresist strippers/polymer removers are formulated for sub-0.5-?m IC processes incorporating high-density plasma etch. The PRx-400 removers dissolve hard-to-remove inorganic polymers from DPS, TCP, and ECR etchers 2-3? faster than conventional strippers. They operate at significantly lower temperatures (30-50?C) and offer very good process latitude. In addition to standard plasma etch for aluminum interconnects, these formulations are highly effective in copper and low-k di
Feature Products PVD for copper interconnect
This PVD system for copper interconnect and wiring of advanced damascene structures, the Zi-3000, is an ultrahigh-vacuum (10?9 torr), eight-chambered cluster tool that is used for sequential deposition of barrier and seed layers on 300-mm wafers. The system combines Long Throw Sputtering modules for WNx or TaN barriers and for Cu seed layers. Other features include an electrostatic chuck hot plate (RT 500?C), compound cryo/ turbo pumping, and boltless shields. Under development are high-pressur
Feature Products 300-mm EPI system
The Epi 300 is a single-wafer multichamber system that grows slip-free epitaxial layers on 300-mm wafers. Based on the proven radial technology of the 200-mm Epi Centura, it minimizes the risks of transitioning to 300-mm wafers while preserving the 200-mm system`s compact footprint. Proprietary radiant heating, using an independently controllable, four-zone radial design, is combined with a rotating susceptor for uniform film thickness and resistivity across the entire wafer surface. Backside wa
Feature Products White vibration filtering for equipment and facilities
Virtually every facet of the semiconductor industry pays a price (in investment and revenue) for vibrations, which increase the cost of wafer fab construction and impede improvements in lithography, metrology, and microscopy. In addition, vibrations inherent in all wafer and disc polishing/grinding can degrade processes and damage wafers. This article introduces a new technology to solve these problems - white vibration filtering (WVF).
Feature Products the Physics of metrology instruments
Metrology is growing in both complexity and breadth, as more technologies are brought to bear on the increasingly difficult problems faced by the process engineer. As IC parts shrink, metrologists are being pushed into the quantum domain. This article reviews the tools available for CD and overlay metrology.
Feature Products Ion implant equipment challenges for .18 um and beyond
David W. Duff, Leonard M. Rubin, Eaton Corporation, Semiconductor Equipment Operations, Beverly, Massachusetts
Feature Products Pyrogenic wet oxidation by RTP
A pyrogenic burner in a conventional RTP system produces H2O gas for the enhancement of oxide growth in a wet rapid thermal oxidation (WRTO) process on bare silicon and implanted wafers. We determined the growth rate from the increase in oxide thickness on bare and implanted wafers at different process temperatures and compared the results to dry oxidation under similar process conditions. We also determined the influence of H2O/O2 ratio in process gas on the final oxide thickness and compared a
Industry Insights The lithography crsis is now
The 1997 SIA Roadmap for future semiconductor manufacturing poses some extraordinary near-term challenges for wafer lithographers and maskmakers. Put bluntly, the lithography/reticle guidelines for critical levels are inconsistent with 1998 optical realities. One reality is that 193-nm exposure technology is very late, too late to affect production before 2002. Another is that the k1 factor (k1 = CD ? NA/l), which defines the quality of an ideal aerial image, is about to take a dive below 0.5 fo
Deposition Silicon-rich-methacrylate bilayer resist for 193-nm lithography
Andrew Blakeney, Allen Gabor, Daniela White, Thomas Steinh?usler, Olin Microelectronic Materials, Providence, Rhode Island, William Deady, John Jarmalowicz, Roderick Kunz, MIT Lincoln Laboratory, Lexington, Massachusetts, Kim Dean, Georgia Rich, David Stark, Sematech, Austin, Texas
Deposition High-throughput W/ Ti barrier sequential deposition
A newly developed in situ W/Ti deposition process, using a pulse of dry air between sequential deposition steps, achieves the same barrier properties as those from conventional processing with two separate systems. Tests show that such sequential depositions can be done using currently fielded process equipment.
Deposition RTP technology for tomorrow
Current trends in the semiconductor industry influencing the future of RTP technology include the migration to smaller device geometries, new materials, thinner films, shallower junctions, and large aspect ratios on larger-diameter wafers. RTP technology offers a controlled, transient, and ultraclean environment for thermally activated processes that are increasingly difficult to achieve in a batch furnace. The advances made in this field have helped in critical areas such as defect reduction, y
Showreport SW preview
This year`s SEMICON West will offer more than 70 technical programs and courses, 35 of them new. Just like last year, the programs are divided between San Francisco and San Jose, with front-end wafer-processing programs in San Francisco and back-end test, assembly, and packaging programs in San Jose. Events begin July 12 and continue through July 17.
|
|
DEPARTMENTS
Editorial System-On-a-Chip changes everything
With system-on-a-chip (SOC) technology (System LSI in Japan), the entire electronics industry appears to be on the verge of a radical transformation. Chips with 0.35-micron linewidths are becoming common, 0.25 is moving into the marketplace, and 0.22 micron is not far behind (reaching 0.18 is proving more troublesome). The densities possible at these linewidths allow a wide range of circuitry to be arrayed on the same die, and doing so can greatly reduce total systems cost while simultaneously e
People People update
Sanyo Electric, Osaka, Japan, has appointed Sadao Kondo president effective June 26. He was executive managing director and semiconductor sector head.
Letters Letters update
With design rules getting smaller and smaller, Solid State Technology will be translating microns to nanometers more frequently. A reader pointed out that the metric conversion was expressed incorrectly in our April issue. 0.18 ?m should be referred to as 180 nm.
Literature Literature
Ceramic-to-metal and glass-ceramic components for ultrahigh vacuum applications are highlighted in this six-page, four-color brochure. Ceramic-to-metal components are designed to withstand demanding manufacturing environments, including extreme heat, severe cold, high voltage, and ultrahigh vacuum. Glass-ceramic products combine the mechanical and electrical properties of ceramic with the design flexibility of glass, withstanding higher temperatures than many glass designs, and achieving higher
News News Update
Designed to process III-V material, thin silicon, sapphire, glass, and ceramics, the LSD-100 integrated scribe-and-break (100 mm) wafer dicing system has a throughput of approximately 120,000 uniformly high-quality dice/hr (0.010 ? 0.014 in. GaAs FET die). The tool provides high yields because it scribes in one direction and breaks in the other for each cycle, instead of scribing and then breaking the en-tire wafer. Continuous process monitoring by precision optics and sensors verifies scribin
Product News Die Bonder
Model 4500 is a multichip epoxy die bonder that features dispensing and stamping capability, multiple stamping, pick-and-place and eject tools, die input from frames, rings, waffle or Gel-Packs, 8-in. wafer handling, standard indexing systems for film, substrates, boats, and leadframes, a Cognex pattern recognition unit, linear motor drive systems, and UNIX-based real-time operating software. F&K Delvotec Bondtechnik GmbH, Ottobrunn, Germany; ph 49/89-62995120, fax 49/89-62995101.
Product News Flip chip placement
The Micron SC is a high-performance flip chip placement tool that is based on frictionless air bearings and ceramic x-y beams supported on a granite base, allowing 10-?m placement accuracy at 3s. It performs up to 2000 uph; footprint is 1 m2. The SC is designed to focus on single- and few-chip packages for flip chip with inherent capabilities for high-accuracy die attach to handle various substrates (from leadframes to J boats) and to pick from a variety of semiconductor feeders. ESEC, Phoenix,
Product News Bumped Wafer Characterization
The WS-1000 is a bumped wafer characterization system that offers throughput up to 15 wafers/hr with 1-?m measurement repeatability. User-defined SPC charting allows real-time monitoring of bumping process parameters, thereby closing the process-control feedback loop. The system can handle 100- to 200-mm wafers for inspection of pre-reflow and post-reflow solder bumps and multiprocess gold bumps. RVSI Electronics, Hauppauge, NY; ph 516/273-9700, fax 516/273-1167.
Product News RTP system
The AST 3000 rapid thermal processing system - for 200- and 300-mm wafers - is designed for high-volume production of 0.25- and 0.18-?m device generations. Applications include silicide formation, implant annealing, oxidation, nitridation, BPSG reflow, and thermal donor annihilation. The system has dual-side heating to minimize pattern-induced thermal nonuniformity and to achieve fast ramp rates up to 250?C/sec. It uses a linear array of tungsten halogen lamps above and below the wafer, with ind
Product News Wet Processing station
These automated, semi-automated, and manual wet processing stations offer a computer-based touchscreen control system, available with data logging, tracking, coding, scanning, and real-time charting capabilities. Other features are on-board fire suppression, particle monitoring systems, and 0.5-?m particle filtration of most chemicals. Amerimade Technology, Pleasanton, CA; ph 925/846-7666, fax 925/846-3003, e-mail [email protected].
Product News Atmospheric pressure cluster system
Designed for photoresist stripping and simple etch processes, the Titan atmospheric pressure cluster system incorporates the field-proven Genmark 3-axis robot, two inductively coupled plasma process modules, and two optional wet cleaning stations, providing the user with reliable, high-throughput and cost-effective downstream plasma resist stripping. Trion Technology, Tempe, AZ; ph 602/968-8818, fax 602/968-8896.
Product News Post-etch residue removal
EKC325 is an aqueous organic post-etch residue remover for sub-0.25-?m processes in which electrical CDs are critical. The formulation removes hard-to-clean organic and metallo-organic polymers that are generated during high-performance etching of dielectrics and metals. It also neutralizes and removes corrosive Cl, HBr, and F materials absorbed on wafers after processing with HBr/Cl2 or CF4 - commonly used during HDP etch to improve resist performance. EKC Technology Inc., Hayward, CA; ph 510/7
Product News Load-locked etching system
The RIE-200 iP load-locked etching system uses the latest inductively coupled plasma technology to perform high-speed, 0.25-?m etching of the thin films used in ULSI processing. The system allows the precise anisotropic etching of silicon, metals, and compound semiconductor materials. The Tornado Coil Electrode is the key to the efficient and stable generation of high-density plasma, permitting the high-selective etching of up to 0.25-?m patterns. Low bias (below -100 V) eliminates plasma-relate
Product News ECR Plasma cleaner
The PlasmaQuest Series Ii high-density ECR microwave enhanced plasma source achieves low surface contact angles and high organic etch rates, uniformly processing a 4-sq-ft area in minutes. Applications are for semiconductor packaging and flat panel processing. Plasma-Quest, Dallas, TX; ph 408/531-1312, www.plasmaquest.com
Product News Fiber optic infrared thermometer
The M680 multichannel fiber optic infrared thermometer provides noncontact temperature sensing in such processes as RTP, CVD, PVD, plasma etching, epitaxial reactors, and crystal growing. Its high-speed multichannel processor, combined with a custom-designed sapphire probe, allows very precise silicon wafer temperature measurement; and its very short wavelength filter permits measurement of the wafer backside with no influence from halogen lamp radiation. Temperature span is from 150 to 4000?C.
Product News Photon ionizer
Model 4900 is a photon ionizer that is effective in extremely static-sensitive applications, tight spaces, and environments with no airflow. It uses a high flux of very low-energy photons, flooding with ions a 1-meter-long, cone-shaped area. These ions essentially neutralize charges instantaneously within the entire volume of space without the need of airflow. The 4900 is ultraclean and intrinsically balanced, requiring no adjustment or calibration. Ion Systems, Berkeley, CA; ph 510/548-3640, fa
Product News Brushless direct drive motor
GOLDLINE DDR (direct drive rotary) high-torque, brushless motors provide precise operation in a compact package. Rare-earth, neodymium magnets are used to generate high continuous and peak torque ratings. The motors are mounted directly on a machine, eliminating the need for gearboxes, belts, and pulleys. Overall machine performance is improved because backlash is eliminated. The motors offer continuous torque ratings from 3.1 to 116.0 lb-ft; frame sizes are available from 6 to 10 in. Typical ap
Product News Cleanroom Ceiling module
Suited to height-limited overhead installation, the MAX 8000 ceiling module is a low-profile unit designed for use in cleanrooms, softwall cleanrooms, and isolated clean areas. Height is 13.5 in. and weight is 68 lbs. It is equipped with a HEPA filter that is 99.99% efficient on 0.3 ?m and larger, and the face is 100% scanned as per Federal Standard 209. A 1-in. pre-filter is also included. Liberty Industries Inc., East Berlin, CT; ph 860/828-2637, fax 860/828-8879, www.liberty-ind.com.
Product News Tip Evaluation system
Available for all NanoScope Dimension and MultiMode scanning probe/atomic force microscopes, this tip evaluation system determines whether the tip meets a selected sharpness criterion or should be replaced. The package includes the proprietary tip evaluation software, as well as a roughness standard that is scanned as part of the evaluation. Based on the analysis, the software presents a worst-case tip sharpness in numerical and graphical form, including displaying an image of the tip itself. Di
Product News SEM, TEM Preparation
This fully automatic solution for scanning electron microscope (SEM) and transmission electron microscope (TEM) cross-section preparation, straTEM, processes die and wafer segments with a throughput of 15 min/cross-section and 30 min for a TEM pre-preparation. The thinned TEM specimen (20 ?m) is automatically packaged for immediate loading into a FIB station and TEM holder. A software function allows automatic mapping of multitarget segments. SELA USA Inc., Santa Clara, CA; ph 408/988-5151, fax
Product News Semiconductor Spectrometry
The Magna-IR/ECO automated wafer profiling accessory offers users of the Magna-IR spectrometer the option of adding advanced wafer analysis capabilities to their systems. It can perform standard ECO analysis applications on wafers that range from 50 to 300 mm in diam., and automated transmission and reflection analysis at pre-programmed wafer positions. The powerful OMNIC E.S.P. ECO software package controls the new wafer robotics that are integrated into the system. Nicolet Instrument Corp., Ma
Product News Thin-film thickness mapping
The F50 thin-film thickness mapping system automatically measures the thickness of oxides, nitrides, resists, polymers, and other films used in III-V and LCD fabrication. Films between 20 nm and 30 ?m can be measured on substrates with diameters up to 8 in. The system also models and characterizes many complex multilayer optoelectronic structures, including vertical-cavity lasers and distributed Bragg reflectors. Filmetrics Inc., San Diego, CA; ph 619/554-0005, fax 619/554-1311, e-mail info@film
Product News Elemental analyzer
Using x-ray focusing optics and large-area Si(Li) detectors, the Eagle ?-Probe is designed to handle the largest possible array of elemental analysis applications. With an analytical spot size =100 ?m and a 10-mm distance between the capillary and sample, the Eagle can conduct manual or automated materials classification on particles or large samples, and layer and plating analyses. EDAX Inc., Mahwah, NJ; ph 201/529-6231, fax 201/529-3156.
Product News Vaccum measurement system
The series 358 vacuum measurement system features the MICRO-ION gauge - the first all-metal ionization gauge - which is smaller and more rugged than a glass gauge. System features include dual CONVECTRON gauge operation, process control setpoint relays, and RS-232C or RS-485 digital interfaces. Granville-Phillips Co., Boulder, CO; ph 800/776-6543 or 303/443-7660, fax 303/443-2546, e-mail [email protected].
Product News Dry twin screws vaccum pumps
The Cobra line of dry pumps eliminates the need for N2 sealing or cooling. An indirect cooling system offers precise temperature control that can eliminate process condensation within the pump; and simplified modular design allows for a smaller overall package. The series has full PLC networking capabilities, for high uptime and low cost of ownership. Busch Semiconductor Equipment Group., San Jose, CA; ph 408/955-1900, fax 408/955-0229.
Product News wafer transfer system
The E-8225 BB wafer transfer system reduces airborne contamination, improves reliability, and simplifies installation in new or retrofitted fabs by eliminating the need to run air lines to the equipment. The system automatically rotates every other wafer 180? in back-to-back orientation before transfer to 25-slot plastic cassettes. By rotating wafers so that the front sides face each other, the system protects wafers from back- to front-side cross-contamination in wet processes. E-8225 BB is C
Product News Crossover photoresist
AZ 3312 is a high-performance crossover photoresist that offers wide processing latitude in both g- and i-line exposures. In i-line, it is linear to 0.4 ?m and has an exposure latitude of 30% for 0.5-?m lines and spaces (at 1.076-?m resist thickness). In g-line, it is linear to 0.6 ?m and has an exposure latitude of 40% for 0.8-?m lines and spaces (at 1.17-?m resist thickness). AZ 3312 is thermally stable up to 125?C. Clariant AZ Electronic Materials, Somerville, NJ; ph 803/556-7870, fax 803/556
Product News Photoresist purity
LithoGuard is a small-footprint, modular unit that protects photoresists in DUV steppers and track tools by removing ammonia, amines, and other organics with high-efficiency activated carbon filters, providing contaminant-free air during lithography processing. Donaldson Co. Inc., Minneapolis, MN; ph 612/887-3475, fax 612/887-3612.
Product News UV light meter
Model 555 UV light meter is designed to provide =0.5% electronic error, a real-time emergency management system, and <2%, 3s calibration to NIST standards. Real-time measurements are displayed, replacing the averaging of energy and intensity signals. Probe-to-probe repeatability of 1% is also designed into the UV measurement system. G&R Labs, San Jose, CA; ph 408/577-0177, fax 408/577-0179.
Product News Reticle writer
The LRS 200 high-precision laser writer is targeted at semiconductor reticle sets down to 0.5-?m design rules and noncritical levels down to 0.25 ?m. The system also meets the requirements of TFT reticles, opto-electronic components, wafer bumping, and other high-precision applications. Included in the system is acousto-optical deflector technology, which - in combination with a fine address resolution of 25 nm - results in good CD uniformity and smooth line edges for curved and angled shapes. T
Product News Fast photospeed photoresists
OiR 672 and OiR 674 i-line photoresists perform at a fast photospeed of 130 mJ/cm2 - an optimal range for use with new i-line scanners. They offer a wide exposure latitude and depth of focus for 0.35-?m features. OiR 672 is designed for reflective substrates, and 674 for nonreflective substrates (bottom ARC and TiN). Both resists show good performance for contact hole/via layers, with 674 performing at 200 mJ/cm2 on contact holes down to 0.35 ?m. Olin Microelectronic Materials, Norwalk, CT; ph 2
Product News Direct wrire laser lithography
The DWL400 is a high-accuracy direct write laser lithographic system for the production of high-resolution masks and direct write applications. It can image up to 16 ? 16 in. with sub-?m structure size and resolution. The system includes automatic precision alignment and metrology capabilities. Heidelberg Instruments Mikrotechnik GmbH, Heidelberg, Germany; ph 49/6221-34300, fax 49/6221-343030.
Services Gold wafer bumping
A service featuring automation and efficient manufacturing processes, located in Hsinchu Science-based Industrial Park, offers gold wafer bumping to LCD display driver, COG, and TBGA users. The company has integrated its office area and manufacturing floor using a proprietary MRP system, which includes on-line wafer status tracking and centralized process recipe control. Its Class 100 and Class 1000 fab occupies 22,000 ft2, and processes 6000 wafers/month (up to 150 mm). A second cleanroom fab s
Services Electrical Characterization facility
A technical support and training facility for the electrical characterization of semiconductors has been established at the Technical University Chemnitz, Dresden, Germany, by a US-based company. The service will be located in labs designed to cleanroom standards, equipped with a SSM 495 mercury probe system, and will support university research programs and European customers through such critical semiconductor processing measurements as epi resistivity, ion implant monitoring, gate oxide integ
Services Microchip fabrication volume
Microchip Fabrication: A Practical Guide to Semiconductor Processing, 3d edition, covers material science, maskmaking, contamination control, chemistry, math, and state-of-the-art processes used in wafer fabrication. Specific topics include crystal growth and water prep; process yields; oxidation; photolithography; deposition; wafer test/evaluation; and packaging. Topics new to this edition include multimetal structures; planarization and chemical mechanical polishing; minienvironments; submicro
Services Custom metal fabrication
Specialized metals and materials fabrication services are available to research scientists and design engineers from a company that supplies these substances for research or prototype development. Services include the manufacture of custom alloys (including powders); ultrathin foils, wires, and tubes; specialist coatings and finishings; metal foams; and materials in special shapes and sizes (using such techniques as photoetching, precision slitting, and laser machining). In addition, a technical
Services Tool Cleaning
This service specializes in cleaning semiconductor tool parts using environmentally safe technologies. Outsourced cleaning enables device manufacturers to reduce COO. Based in Albuquerque, NM, the business also has facilities in Carollton, TX, Gresham, OR, and Tempe, AZ. ESCA Inc., 1501 12th St. NW, Albuquerque, NM; ph 505/244-3722, fax 505/244-0268.
Services Filtration lab services on web
Information on Scientific and Lab Services (SLS) for filtration and separation technology is available on this company`s web site. Designed to assist customers in specific aspects of their processes, the site offers a "problem solver" section, where visitors can learn about complex fluid clarification problems solved by SLS scientists in a variety of industries, and an "at work" section where visitors can explore existing filtration and separation problems being addressed. The site also includes
Services FIB service support center
This center is designed to provide technical assistance to the customers of a focused ion beam (FIB) system supplier. The center`s help desk is staffed by trained representatives who assist field service engineers in solving problems. By using a software database that stores common problem-solving scenarios and contains on-line documentation of all of the company`s installed bases, the representatives are able to answer questions and direct field service quickly. The support center also allows t
Services Stepper
This cleanroom compatible CD-ROM contains operator manuals, system schematics, and other reference materials for stepper documentation. It enables lithography technicians and equipment engineers in cleanrooms to access vital system information instantaneously, and eliminates bulky printed materials, a possible source of contamination. Digitized media also allows real-time referencing and consultations to boost lithocell productivity, has the potential to reduce downtime, and provides standard gu
Services Lithography support Programs
In response to the industry shift to DUV lithography, a US-based company is increasing its technical support options. The service program will expand off-hours response up to 24 hr/day, seven days/week; extend parts availability to parts on consignment; increase on-site service to off-hours and weekends and add an in-house maintenance strategy; set up critical service hot lines; and improve on-line technical support. The program will also be tailored by locality according to customer needs and t
Services 1998 Semi Education catalog
This edition of the SEMI education catalog describes courses on cultural awareness, business marketing, and technology. Specific topics include doing business in Korea, Taiwan, Singapore, Japan, and China; strategic selling and semiconductor account management; competitive marketing strategy; principles of selling; fundamentals of product marketing; semiconductor processing technology; flat panel displays; plasma etching technology, CVD technology; lithography science; and process/device technol
Tech News Sematech team makes 100-nm features
New results from a SEMATECH lithography research program suggest that current-generation 248-nm deep ultraviolet wavelengths can generate well-controlled 100-nm (0.1-?m) features on the wafer, using a combination of optical proximity correction (OPC) design features and 4? alternating phase-shift photomasks (PSM). The ability to image features of less than half a wavelength with excellent CD control would give a substantial boost to optical lithography, and push the need for post-optical tools e
Tech News Copper plus low-k damascene built at TI
Texas Instruments (TI), Dallas, TX, has announced a viable copper wiring technology for IC fabrication. TI joins the ranks of IBM and Motorola, which have both made early introduction of "copper technology" a marketing buzz as well as a key to the future of ICs.
Tech News Two Semi groups discuss 9-in. reticle carriers
As the maskmaking industry works to implement standards for the transition to 9-in. reticles, two SEMI task forces charged with designing carriers for the larger glass substrates have taken divergent paths on their box designs. The North American group is placing minienvironments at the core of its design, while the Japanese group is opting for optimized containers for specific functions. As a result, four very different carriers are now being proposed for adoption. "We`re working under differen
Tech News new high-k material from Bell Labs
A group of Bell Labs researchers has developed a new zirconium-tin-titanium oxide material with a high dielectric constant that may become a contender for use in capacitor and gate oxide structures in future generations of devices.
Tech News New 193-nm resist resolution to 60 nm without phase shift
A research group at Hyundai Electronics Industries (HEI) in South Korea has demonstrated the ability to print 60 nm (0.06-?m) isolated lines using a new single-layer 193-nm photoresist and traditional (nonphase shift) masks. The resist has shown good etch resistance and adhesion, and Hyundai officials say it should be usable for 0.13-?m processes.
Tech News H2O2 cleaning stabilizes reference wafers
New data suggest that hydrogen-peroxide (H2O2) cleaning of a bare-silicon reference wafer before use for ellipsometry calibration ensures a repeatable native-oxide surface and reliable measurement results. This conclusion comes from Rudolph Technologies, Flanders, NJ, and a one-year study that monitored the dynamics of the contaminant layer that naturally forms on bare wafers.
Tech News Move to 230-mm reticle now on back burner
With critical level 230-mm (9-in.) reticles forecast to cost at least three times as much as today`s 150-mm masks, the need for the larger reticle size is being pushed out about a year, according to a recent survey of 13 mask producers` plans for 230-mm.
Software Soft simulation crucial for new automated fab decisions
Software-driven simulation is a valuable tool for those evaluating and choosing automated material handling systems (AMHS) for new wafer fabrication facilities. While this approach is just a simulation, it provides more valuable data on possible real performance than just making decisions from comparative lists of specifications. With AMHS adding $75-100 million in hardware, software, and infrastructure to the cost of a new fab, but being essential for emerging 300-mm fabs, use of simulation is
Software Using GEM to measure equipment utilization
Relatively few equipment engineers know the advantages of the "process state model" in Semi`s generic equipment model (GEM). In all equipment shipped with this compliance, GEM provides a straightforward method for measuring equipment utilization with little more than a spreadsheet. An engineer who fully understands his equipment`s process state model, and its relationship to Semi`s E10 reliability, availability, and maintainability definitions, can use the method described here to hone the throu
Lithography Improving wafer yields at low K1 with advanced photomask defect detection
When the optical resolution is comparable to the illumination wavelength, small variations in photomask dimensions cause disproportionately large changes in wafer CDs. Photomask CD errors =75 nm can print as repeating defects on wafers. This paper describes an investigation of mysterious "stain" defects, which led to the development of a new, advanced line-measurement algorithm (ALM) capable of detecting errors in photomask CDs at the 75-nm level.
Asiafocus Mitsubishi to cut capital spending
Expecting huge losses in its chipmaking business for the previous fiscal year, Mitsubishi Electric, Tokyo, will cut FY98 capital investments to 50 billion yen, down from 90 billion yen last year, and undergo a major restructuring that will see DRAM production slashed. In addition, two fab lines will be shut down this fiscal year.
Asiafocus Japans poly production skyrocketed in 1997
Japanese suppliers of polycrystalline silicon had a boom year in 1997, boosting their production by a whopping 30.9% over 1996 levels, and shattering production forecasts made at the beginning of last year, according to a report from the Japan Society of New Metals (JSNM). Single-crystal silicon sales were softer than expected, said the report, and sales in the first half of 1998 are expected to be flat, due to the impact of production slowdowns at major Japanese chip houses.
Asiafocus NEC and Matsushita plan PDP production
With PDP (plasma display panel) demand expected to rise in the next four years, NEC, Tokyo, will ramp production of the displays beginning this month, a move followed by Matsushita Electronics, Osaka, which expects to start its own PDP production.
Eurofocus Europe is speeding up R&D in deep submicron processing
With the increased globalization of economies and the finalization of a true unified European economic region, the European market becomes a very attractive business playground. Europe consumes 21% of all ICs worldwide at end-use level, but produces only 9%. That makes Europe very dependent, and its industry vulnerable. Moreover, its governments restrict progress that could help this rapidly changing industry survive.
Market Watch Advanced IC packaging and market trends
Advanced IC packaging strives to further industry goals of reducing the amount of space consumed by electrical components while increasing system performance.
World News Worldwide highlights
North American equipment companies saw February orders drop 5.4% from January levels. SEMI noted that North American equipment vendors had a book-to-bill ratio of 0.92 in February, with three-month average orders at $1.23 billion and shipments at $1.34 billion (4% below January). However, both figures were well above year-ago levels, orders by 17% and billings by 38%. Front-end tools, with a book-to-bill of 0.89, showed more softness than assembly and test equipment, which came in at 0.98. Front
World News USA
Varian Associates has agreed to purchase Genus Inc.`s high-energy ion implant product line in a deal worth
World News Japan
Weak orders for Japanese tool vendors. Japanese equipment companies logged total January orders (including exports) of 87.7 billion yen ($681 million), 31.4% below year-ago levels, according to the Semiconductor Equipment Association of Japan. This is the second consecutive month of declining orders; the trend was blamed on South Korean chipmakers` broad pullback, and reduced spending by Japanese companies. Sales were 93.2 billion yen ($723 million), resulting in a book-to-bill of 0.94.
World News Asia/Pacific
Taiwan`s Tatung Group, Taipei, is planning to establish a chipmaking operation in the region, and is hoping the business will generate 100 billion Taiwan dollars (US$3.5 billion) annually, according to Taiwanese news reports. Officials at a US-based Tatung office confirmed the building plans. The company is planning to build at least four chipmaking plants, according to the Economic Daily News.
World News Europe
Asian financial problems that arose late last year led to several changes in the overall industry and in the Top Ten rankings (see table), according to a study by VLSI Research Inc. A recession in Asia and a weakened yen were factors causing the market share of the Top Ten companies to decrease for the first time in four years to 42.9%. This was the first time since 1993 that there were less than six Japanese companies in the Top Ten list. However, the average sales per Japanese company increase
| |
|