Issue



Table of Contents

Solid State Technology

Year 1996
Issue 12

FEATURES

Implantation


Advances in ion implanter productivity and safety

This article examines the impact of advanced dopant delivery systems on ion implanter productivity and safety. Conventional dopant source materials are compared with a new atmospheric pressure SDS Gas source. The source reversibly adsorbs the dopant gas onto high-surface-area materials and uses pressure swing desorption to deliver the dopant to the implanter ion source.


Implantation


Automatic defect classification for effective yield management

Automatic defect classification (ADC) enables efficient process monitoring and enhances the diagnosis of process problems. ADC, which reduces large volumes of defect data to concise statements of process status, may be implemented both on-line (tightly coupled to a defect detector) and off-line (connected to a defect review tool). Although, strictly speaking, ADC is simply the automation of manual defect classification, it must be fully integrated with defect detection and analysis systems in or


Deposition


New parametric-test technologies meet future production challenges

Continuing trends in the semiconductor industry are driving the need for more sophisticated production testing tools. These trends include IC scale reduction, increasing die size and wafer diameters, strong growth in semiconductor demand, and the need to reduce start-up times to increase profitability.


Deposition


Reducing DI water use

Thomas W. Peterson, The University of Arizona, Dept. of Chemical and Environmental Engineering, Tucson, Arizona


Links


National Semiconductor Metrology Program travels Roadmap to future needs

The fast-paced development of integrated circuits requires dramatically improved measurement tools and tighter controls of more numerous and more sophisticated manufacturing processes to keep advanced microelectronic manufacturing competitive. Acknowledging this but noting the industry`s limitations, Robert Scace, director of the Office of Microelectronics Programs (OMP), which operates the National Semiconductor Metrology Program (NSMP), said, "Right now, some requirements of the National Techn


Industry Insights


Cell teams show results

You may recall the United Negro College Fund advertisements from several years ago showing a picture of a young person with a chain and padlock around his head and concluding with the tag line "A mind is a terrible thing to waste." The objective of the advertisement was to get people to make a donation in the belief that there was a great deal of untapped potential in our youth. I am not sure why, but that advertisement made an impression on me and has shaped my expectations of the people with w


DEPARTMENTS

Market Watch


The inevitability of business cycles

Gerhard Parker was one of the main speakers at this year`s SEMI awards dinner, held September 25 at the Westin Hotel in Santa Clara, CA. As an executive VP of Intel Corp., and VP and GM of the company`s Technology and Manufacturing Group, Parker oversees all capital spending at Intel, and thus has the largest checkbook in the Semiconductor Industry Association.


Tech News


300-mm meeting: NEC slows adoption, SEH says wafers in 99

Officials of several leading Japanese chip companies said at a recent meeting in Tokyo that they expect to begin volume production on 300-mm wafers in 2000 or later, and a representative of wafer vendor Shin-Etsu Handotai (SEH) said volume quantities of bare wafers would not be available until that time frame.


Tech News


Temperature control slows RTPs advance

According to speakers at the recent 4th International Conference on Advanced Thermal Processing in Boise, ID, temperature measurement and control are among the most pressing and perplexing issues facing designers and users of rapid thermal processing (RTP) systems. The SIA National Technology Roadmap calls for ?5% gate oxide thickness control for the 0.25-?m device generation, which will require ?5?C temperature control (3 s). While thermal budget demands suggest that RTP will be used for many o


Tech News


Hot wire CVD systems for amorphous silicon

In the last few years, hot wire CVD deposition technology, originally used in the diamond-like coating arena, has attracted attention in the amorphous silicon field. "People want higher deposition rates and lower hydrogen concentration because of instability in the amorphous silicon area," noted Arun Madan, president of MVSystems Inc., Golden, CO. "It`s possible that hot wire can provide both, and can make polycrystalline silicon at low temperatures."


World News


Worldwide highlights

Tool sales slumping. Orders for North American semiconductor capital equipment continued to slow in September, totaling $789.6 million, as the SEMI book-to-bill for fab tools remained flat at 0.71 - the same level as August (see figure below). Observers suggest that things will get worse for equipment suppliers before they get better, but a revised semiconductor market forecast from Dataquest indicates that 1997 will see solid 13% growth in chip sales. The September equipment orders were down 10


World News


USA

SEMI has presented its Awards for North America for technological contributions to the semiconductor industry. The Materials award was given to Richard Brewer, founder and president of Advanced Chemical Systems International. He was recognized for his role in commercialization of the first integrated circuit in 1964, when he worked at Texas Instruments. The Lifetime Achievement Award was presented to Kenneth Levy, chairman, CEO, and founder of KLA Instruments for his 25 years in the industry. He


World News


Japan

Rohm Company Ltd., Kyoto, Japan, which took delivery of a Tegal 6540 HRe- ferroelectric memory etch system from Tegal Corp., Petaluma, CA, in September 1995, is now utilizing the system for production of ferroelectric memory (FRAM) devices. Rohm`s commercial FRAM products will initially consist of 16-kilobit serial memory and are based on proprietary ferroelectric technology developed by Ramtron International Corp., Colorado Springs, CO. Initial production will be 1000, 0.8-?m, 150-mm wafers/mon


World News


Asia/Pacific

Chartered Semiconductor, the Singapore-based foundry, is now offering a 0.35-?m, four-layer-metal process to its customers. The process, currently running test wafers at Chartered`s Fab 2, includes chemical mechanical planarization and salicide technology; process qualification is running in parallel with customer product qualification.


World News


Europe

ASM Lithography (ASML) of Veldhoven, Netherlands, and IMEC of Leuven, Belgium, have formed a strategic alliance to provide training for personnel in future DUV fabs. DUV is moving into production faster than anticipated, with 20-25% of stepper shipments anticipated for 1997 expected to be DUV tools. ASML and IMEC have adopted a pro-active approach to shortening the learning curve for this new technology. The alliance will offer one, one-week and two, two-week courses to prospective DUV engineers


World News


Rest of the World

Orbot Instruments Ltd., Yavne, Israel, has installed an RT-8000 reticle inspection systems at Siemens Photomask Shop, in Munich, Germany. This is Orbot`s first sale to a captive mask shop in Europe. The system inspects state-of-the-art reticles supporting manufacturing of 64- and 256-Mbit DRAMs. Siemens will use the system to inspect different types of reticles including very advanced reticles for 64-Mbit and PSM of different technologies.


People


People Update

Dennis A. Peasenell has been elected chairman and CEO of GMT Microelectronics Corp., Norristown, PA. Prior to joining GMT, Peasenell was executive VP of operations at Unitrode Corp., and held various senior management positions at Signetics Corp. (now Philips Semiconductor).


Literature


Literature updates

This four-color brochure describes a fully automatic analytical probing system that incorporates a wafer handler with pattern recognition for use in test and device characterization labs, and wafer-level reliability and just off-line applications. The system is capable of accessing the chuck in dry and electrically shielded minienvironments or light-tight enclosures. Included in the brochure are close-up photos of the robot system and control software, as well as options for upgrading a basic s


Editorial


Whats ahead in 1997

If you compared our 1996 coverage to, say, 1986, the broad topic areas would be pretty much the same. Terms like lithography, gas handling, and metrology tend to show up year after year. Still, our content is as dynamic as the industry we serve.


Product News


Die inspection system

This vision inspection system is designed to verify that only good die have been picked from a wafer (and no bad die). It incorporates a Cognex vision board and proprietary algorithms to perform the necessary intelligent inspection; and it is connected to a host computer to receive wafer maps directly via a barcode scanner. Included in the package are a computer, vision processor, wafer fixture, backlight illumination, camera, lens, barcode scanner, and proprietary operations software. The syste


Product News


300-mm wafer analysis

The ECO/RS analyzer is an FT-IR analysis tool that automatically profiles 300-mm wafers and collects measurements using standard or user-defined profile patterns. It operates in both reflection and transmission modes for maximum versatility and productivity in R&D labs or cleanroom environments. The dual-mode operation supports a full range of FT-IR applications, including analysis of bulk silicon impurities, epitaxial film thickness, and dielectric film dopants. The ECO/RS has a very small foot


Product News


Lithography software

ProDRM and ProABC are software tools for extracting resist modeling parameters from measured data. These parameters can then be input to lithography simulators such as PROLITH/2 and PROLITH/3D to increase the accuracy of the simulations performed. ProDRM automatically extracts the photoresist development parameters from the data derived from a development rate monitor, and it can read raw data files from several sources. It can also read data in the form of contrast curves that are available to


Product News


Sputtering target

The Prelude diffusion bonded target assembly, designed for use with Applied Materials` Coherent TTN cathode, offers high power and high throughput - even with a collimator - in Ti or TiN sputtering processes that have high aspect ratio trenches and vias. Prelude provides cooler operation, with less deflection at all powers, as a result of improved thermal conductivity. Automated bead blasting and roughness control on critical surfaces of the target result in reduced flaking. Available in ultrahi


Product News


Furnace temperature control

The INTEMPsS intelligent temperature controller is designed for use on vertical and horizontal diffusion/LPCVD furnaces, providing enhanced temperature stability and recovery features in the control of diffusion/LPCVD heating elements. It provides good temperature uniformity across the flat zone and fast temperature recovery times, which can reduce processing time and increase wafer throughput; it is compatible with the 7355X and 7353H DDC horizontal furnace systems. The controller includes hard


Product News


Intra tool buffer

The Intra Tool Buffer (ITB) is a tool automation solution that buffers work-in-process within process tools and loads/unloads wafer carriers to and from this company`s new 300-mm door-opening load port to ensure continuous wafer availability. The system offers the user increased tool utilization and throughput, lot tracking, and empty carrier storage. The ITB provides the process tool with standardized intrabay interfaces, allowing integrated tool loading from the AeroTrak overhead monorail tran


Product News


Photoresist purification

Wafergard Chemical Dispense System-2 integrates two technologies for point-of-use photochemical dispense and filtration of g-line photoresist, solvents, and developers. The system eliminates microbubbles, and controls particle contamination. Microgard Minichem disposable pleated filters are integrated with a modular pump design that consists of the controller and the diaphragm pump, and can be installed on all coater/developer process equipment or merged in chemical management systems. The filte


Product News


Flood exposure sources

These flood exposure sources are intended for photoresist imaging, stabilization, and photospeed verification applications; 350, 500, and 1000 W sources produce an intense source of light for shorter exposures, improving productivity. The spectral output of each source can be modified for DUV, near UV, i-line, or g-line output. Large uniform exposure areas allow the user to irradiate samples up to 10?10 in., or many smaller samples simultaneously. For maximum stability, accuracy, and consistent


Product News


DUV photoresists

These two positive-tone, chemically amplified resists, DX-1200P and DX-1300P, are characterized by standard processes, wide latitudes, good lithographic performance, and high reliability. They also provide adequate delay time stability and low sensitivity to environmental contamination. DX-1300P is designed for high-resolution line and space patterns. Features include: low isolated to dense bias (within CD specifications); high sensitivity (=20 mJ/cm2); high resolution (linear to 0.2 ?m on a 0.5


Product News


Die-matrix expander

Model UH 130 is a die-matrix expander that reduces throughput time and increases yield by gently separating die on adhesive tape after wafer sawing or scribe and break operations. After expansion of the wafer, an evenly spaced matrix of die results, facilitating die pickup and eliminating the possibility of die scraping against one another. The UH 130 handles wafers of up to 8-in. diameter, adapts to any size wafer/film frame, accommodates carrier rings or grip rings, and maintains parallel alig


Product News


Substrates for BGA fabrication

ViperBGA substrates are based on a photo-imageable dielectric process called Micro-filled Via. Because this technology does not require the mechanical drilling of vias, it can reduce BGA fabrication costs significantly. The technology provides for via-in-pad layouts, permitting staggered bonding pads to accommodate small die. Via-in-pad layouts reduce bond wire length for a given pin count, thereby increasing electrical performance. Multiple layers allow efficient routing and the use of power an


Product News


Ink dot systems

These two systems have been designed for semiconductor test and assembly areas. Model 2208 ink dot counter verifies the reject ink dot die count on tested wafers to eliminate die count discrepancies between test and assembly. The 2209 inking station provides an economical alternative to use of a production wafer prober as an inking station. Both systems have wafer mapping capability options. Viking Semiconductor Equipment Inc., Fremont, CA; ph 510/657-9960, fax 510/657-5969.


Product News


Chip encapsulant

EPO-TEK T7139 is a thermally conductive, electrically insulating epoxy formulation designed for use as a "glob-top" encapsulant. It encapsulates chips and protects them from damage during micropackage assembly and handling. Advantages include a low coefficient of thermal expansion; good resistance to moisture, contamination, and most solvents; and low levels of hydrolyzable ions and outgassing. Its solvent-free, two-component formulation has a shelf life of one year at room temperature and a pot


Product News


Point-of-use scrubber

The XGC point-of-use scrubber is a high-efficiency, low-energy system designed for the abatement of semiconductor effluent gases and particulates. The scrubber contains three abatement stages for thorough scrubbing of highly toxic and pyrophoric gases from process effluent gas streams. The three stages are a spray chamber, an Eductor Venturi stage, and WATERWEB Mesh, which consists of thousands of microventuri passages for maximum scrubbing efficiency. The XGC features a blowerless design for th


Product News


On-board waterpumps

Etch-compatible On-Board Waterpumps are designed to decrease particulate formation during semiconductor metal etch processing. Reduction of particulates can increase mean wafers between cleans by as much as 300%, improving tool productivity. The elimination of water slows particulate formation from the etch residue and reduces flaking from exposed aluminum surfaces. By mounting the pumps in etch loadlock and transfer chambers, water is removed at the source. Increased water vapor pumping speed r


Product News


Cooling units for DI water

These compact and quiet recirculators, for 1-24 kW of cooling, feature deionized water compatibility. The systems achieve up to ?0.1?C stability, provide digital PID control, and offer centrifugal or positive displacement pumps. They are designed for continuous duty in both production and research environments for laser, semiconductor, and general-purpose cooling applications. FTS Systems Inc., Stone Ridge, NY; ph 800/824-0400 ext. 159, fax 914/687-7481.


Product News


Cold cathode gauges

The IKR 270 compact cold cathode gauge measures vacuum from 10-2 to 10-11 mbar. The electronics and gauge sensors are integrated into one compact head. The gauges are metal-sealed with a DN 40 CF flange. The standard version can be baked to 250?C with the electronics removed, and an extended body version is available that allows the gauge to be baked to that temperature without removal of the electronics. The gauges have no filaments to burn out, they can withstand air inrush, and they are corro


Product News


Vacuum gate valves

Series 01 Mini-UHV vacuum gate valves have stainless steel bodies and Monovat sealing with only one moving part in vacuum. The thin-profile Series 12 aluminum version features the Vatlock spreading ball sealing technique that evenly distributes closing forces to create a highly reliable shock-free seal without cams. Available in sizes ranging from 16 to 1250 mm ID, these valves are offered with manual, pneumatic, or electric actuators and operate up to one million cycles between maintenance. The


Product News


Photoresist removal process

With its capacity for 100-wafer lot sizes, the GAMA-2 automated wet station processes double lots without impacting footprint and chemical costs. By doubling wafer transport lots, throughput is increased proportionately. In post-ash resist strip processes, for instance, the GAMA-2 raises throughput from around 200 to 400 wph. Use of the chemical-free photoresist strip process in conjunction with the post-ash resist strip processes increases throughput even further - to 500 wph. Based on a chemic


Product News


Multihead polishing for CMP

Auriga is designed to improve the overall CMP process,significantly increasing reliability and throughput. It is a five-head, two-table system with integrated multiple process control - an advanced design that permits multitasking of tool automation so that each component is fully optimized. Throughput is up to 100 wafers/hour in continuous feed operation. Additionally, the Auriga system incorporates future dry-in/dry-out capabilities with the Capella post-CMP wafer cleaning system, as well as e


Product News


Multichip die bonder

The PPS 2200 series die bonder offers totally modular hardware and software for multichip applications. It may consist of between one and four modules of identical design. Arranged in a line, they are capable of assembling four different or identical components at the same time, working independently of each other. Small yet powerful autonomous processors are connected to a central computer by a high-speed CAN bus and handle a large part of the real-time requirements. Four types of nodes are ca


Product News


SMIF wafer transfer system

SMIF-LPO 2200 overcomes the problems of integrating SMIF I/Os and SMIF-Pods with process tools that have no provision for wafer cassette indexing during wafer transfer. It is designed to meet the need of equipment manufacturers to integrate SMIF into their process systems while maintaining an ergonomic load height, and it will support a reduced 200-mm interface footprint due to direct system integration. In addition, wafer pro-trusion sensing and automatic wafer reseating provide a comprehensive


Product News


Wafter surface characterization

The HRP-200 high-resolution profiler is designed to perform automated, in-process planarization monitoring of wafers with device geometries as small as 0.25 ?m. The instrument has the ability to measure a surface on both the macroscopic (50 mm) and microscopic (0.25 ?m) scales. Its fine-resolution capabilities allow device manufacturers to optimize the use of the CMP process by measuring such characteristics as tungsten plug recess, pattern-induced erosion, dishing of metal features, and scratch


Product News


PFA pinch valve

The Dymak PFA pinch valve is intended for use in semiconductor applications that require high-purity chemical handling. Its all-PFA pinch element provides good chemical resistance and low particle generation. This, combined with its straight-through flow, makes the Dymak suitable for chemistries such as the slurries used in CMP. The straight-through flow path has low potential for particle entrapment and creates less turbulence than conventional valve designs, resulting in high flow capabilities


Letters


SST is the source for information

Your magazine is an excellent source of topical, relevant industry research and development and manufacturing for VLSI.


Letters


Thanks for the news

I would like to express my appreciation for the fine article you wrote about our microcleaving product ("Israeli group develops improved wafer cleaving," September 1996, p. 48). It was a joy to read.


Letters


Source does have automatic tuning feature

Our product, the MPDR 325I microwave plasma processing source, was featured in the cover article of the August 1996 issue ("A comparison of HDP sources for polysilicon etching," J.T.C. Lee, p. 63). Dr. Lee`s only criticism of our unit was the fact it did not have an auto tuning feature. However, the auto tuning feature has been available since August 1995.


Eurofocus


SEMI mulls move of SEMICON/Europa; Geneva out, Munich in?

SEMICON/Europa, which has been held in Geneva for the last several years, may get a new home, depending on the results of a survey now being conducted by the SEMI show group. Munich is seen as the front-runner among alternative sites, though no decision on moving the show has been made.


Eurofocus


Hyundai pushes DRAMs; will spend $3.76 billion on Scottish fab project

South Korean chipmaker Hyundai, continuing its efforts to gain market share in DRAMs, will spend a billion UK pounds ($1.56 billion) to build a large DRAM fab in Scotland, with the prospect of adding a second phase later.