Issue



Table of Contents

Solid State Technology

Year 1997
Issue 9

FEATURES

Links


USDC: Growing with the flat panel display industry

Although the earliest USDC programs to develop new sources of critical tools, materials, and components are showing success, much still needs to be done. With over 30 programs in the field, and only a dozen or so at beta site or in production, continued oversight is necessary. Additionally, USDC`s technical council has identified other work programs that we are putting out for bid to the supplier community. If our current schedule remains valid, the primary work of USDC involving infrastructure


Feature Products


Safe usage of C1F3: Supply, vacuum service, and exhaust gas management

Chlorine trifluoride (ClF3) is a highly reactive process gas used in chemical vapor deposition (CVD) and diffusion furnace applications for nonplasma cleans. Relatively new to US wafer manufacturers, ClF3 is an aggressive oxidizer. There is growing concern about safety, materials compatibility, and gas handling as ClF3 is increasingly used in semiconductor processing. Recent work in the laboratory and the field demonstrates an effective, safe system for ClF3 supply, vacuum service, and abatement


Feature Products


nterconnect cluster tool platform

The CONNEXION 800 cluster tool, capable of supporting six process modules and dual loadlocks (SMIF and AGV compatible), is an efficient solution for barrier/liner and copper interconnect applications. Its modular design provides high throughput and productivity. In addition to integration of this company`s PVD, CVD, and RTCVD modules, the 800 can integrate with other, third-party MESC-compatible modules. CVC, Rochester, NY; ph 716/458-2550 ext. 3136, fax 716/458-0424, www.cvc.com, e-mail zpilier


Feature Products


Wedgebonder for 55-um manufacturing

Model 8060 is an aluminum and gold wedge bonder that is designed to accommodate the most difficult packages. Improvements in productivity are made possible by a new, lightweight bondhead and x-y table, as well as a new material-handling system. The rotating bondhead can accommodate the most complex device types, including strip or leadframe packages. A 2.5 ? 2.5-in. bonding area (5 ? 5-in. max. device size) makes the 8060 suitable for a wide range of devices, such as microprocessors, chip on boa


Feature Products


Ion beam milling and imaging Ion beam milling and imaging

The FIB 200DE fully digital focused ion beam milling and imaging tool is designed for device editing and analysis of advanced ICs, including flip-chips. It features a laser interferometer stage and high-intensity ion column for sharp milling and imaging of multilayered devices at high resolutions. Circuit design/debug times are considerably reduced through powerful operator interfacing, rapid CAD overlays of circuit designs, and automated actions. The high-intensity column with optimized gas del


Feature Products


RTP system

The RTP XE Centura rapid thermal processing system incorporates enhancements to the wafer transfer sequencing of the established RTP Centura system, boosting throughput to >100 wafers/hour. Power consumption has been lowered by as much as 40% through the use of high-efficiency lamps and other modifications. A key innovation is TempMatch technology, which allows multiple RTP Centura systems - within a fab or at several fabs - to be rapidly and precisely temperature-matched for identical process r


Deposition


300-mm premetal dielectric processing

The net manufacturing cost of silicon die dominates the economics of IC fabrication. The industry relentlessly pursues robust, massively parallel fabrication processes to drive the die cost down. Historically, the number of die/wafer pass is increased by reducing transistor critical dimensions or by introducing larger starting wafers into the fab line. The latter approach is more dramatic, unless the costs of new capital equipment and process development consume the cost advantage of additional


Deposition


Improving wafer defect and impurity prevention with carrier lifetime measurements

Many semiconductor process improvement efforts are focused on defect and impurity detection and remediation. Different types of defects and impurities arise from a variety of sources, so different measurement techniques have been developed for characterizing these problems [1]. Several of these rely on charge carrier lifetime measurements, which can focus on either the wafer surface, the bulk semiconductor material, or both, involving either minority carriers alone or minority carriers and major


Showreport


SEMATECH COO hopes to go global soon:post-optical litho consensus sought

The following items highlight important news from SEMICON/West `97, held July 14-18 in San Francisco, and San Jose, CA.


Showreport


Market snapshot

A few consensus trends emerged from the avalanche of market reports and presentations at SEMICON/West. First, according to SEMI`s Elizabeth Schumann, 1997 is expected to see a continued slide in the DRAM market, as excess capacity continues to drive prices down.


Showreport


One book for 300 mm:1300I, J300unviel standards guideline

After a year-long collaboration, the International 300mm Initiative (I300I) and the Japanese J300 standards development consortium have released a landmark guidance plan to aid the development of a global set of standards for 300-mm wafer production later this year.


Showreport


Foundries could account for 35-45 percent of chip market long term

The semiconductor foundry industry will continue to see strong growth over the next few years, but ongoing overcapacity will result in declining prices/in.2 of silicon, said Dataquest principal analyst and program manager James Hines during a presentation at Dataquest`s SEMICON/West seminar, sponsored by WaferNews.


Showreport


Labor shortage still a concern

An industry roundtable was held to discuss solutions to the ever-increasing industry labor shortage. SEMI hosted the Educational Partners Roundtable on July 15. Stan Myers, president of SEMI, stated that the worldwide equipment and materials industry is poised to create at least 40,000 new jobs before the year 2000.


Showreport


SEMICON attendance numbers are murky

One of the biggest questions at any trade show is, "How many people came?" While unscientific observation and anecdotal evidence from exhibitors at SEMICON/West indicate that this year`s crowds compared very favorably with recent years, hard numbers for the show proved a little difficult to come by. A spokesman for the trade association said a total of 76,000 people had registered for the show, both as attendees and exhibitors, with about 27,000 having been verified as attendees. The latter fi


Showreport


Lithography sector prepares for 0.25-micron

While total stepper sales may have declined for the year, the lithography segment of the semiconductor equipment industry seemed especially vital at SEMICON/West `97, driven by preparations for the 0.25-micron era. Shipments of top-of-the line DUV steppers and step-and-scan systems were reported to number about 100 by Karen Brown, SEMATECH director of lithography, with deliveries tripling in 1998. One industry observer even expressed fears that the stampede toward smaller CDs would lead to a "te


Showreport


Advanced interconnects face big issues for 0.18 micron

Though 300-mm wafer processing was the biggest draw at the vast majority of show booths, the biggest changes to the equipment and materials industry may come from the fundamentally new technologies that will be required to fabricate ICs with 0.18-micron minimum line-widths. DUV lithography seems to be sufficiently extendible to 0.18 micron, but the fabrication of new interconnect layers and ultra-shallow junctions pose particular problems.


Showreport


On eve of OnTrak acquisition closing, Lam execs foresee growth to $3B-$4B

With a formal closing in its acquisition of OnTrak Systems expected early last month, Lam Research is anticipating a surge in revenue over the next few years to the $3 billion to $4 billion level, based on existing strength in etch and growth in CVD and CMP.


Showreport


Take two with 300-mm Take two with 300-mm

One common notion at the show was that the move toward 300-mm and 0.18-micron geometries has increased the demand for two other components of the total marketing package - minienvironments and CE marking.


DEPARTMENTS

Market Watch


Worldwide market for multimedia semiconductors

Since the beginning of the era of personal computers, tremendous efforts have been expended to enhance delivery of multimedia. Only a few years ago, multimedia was not a particularly interesting experience. Today, quality multimedia has become a reality and is a key element in the computer and consumer electronics industries` current product thrust.


World News


Worldwide Highlights

June equipment and chip book-to-bills healthy. The North American book-to-bill ratio for semiconductor equipment remained flat at a healthy 1.09 in June, according to SEMI. Market indicators seem to provide continued evidence of stable health for both the equipment and chip sectors. SEMI`s figures showed a rising trend for the fourth straight month, with total equipment order input increasing in June to $1.46 billion, and three-month average bookings rising 2.5% above May 1997 levels.


World News


USA

Applied Materials Inc., Santa Clara, CA, has charged Varian Thin Film Systems with infringement of several patents relating to physical vapor deposition (PVD). Applied is seeking unspecified damages and an injunction against future sales. Varian Thin Film Systems, which was acquired by Novellus, called the suit`s allegations "unfounded." Varian Associates has filed a federal anti-trust suit against Applied, charging that Applied has used "anti-competitive conduct" in the PVD, ion implant, etch,


World News


Japan

Orders in Japan are up. Orders for semiconductor equipment in Japan showed year-over-year improvement in April, hitting 115 billion yen (about $1.0 billion) - 7.4% better than a year earlier. Shipments remained 18.6% lower than 1996 levels, however, at 72.2 billion yen (about $628 million), according to a Semiconductor Equipment Association of Japan report published in the Dempa Shimbun. The resulting book-to-bill ratio of 1.59 augurs good months ahead. Wafer processing equipment accounted for 7


World News


Asia/Pacific

An informal equipment creditors committee comprised of representatives from Applied Materials, GaSonics International, Lam Research Corp., Novellus Systems Inc., and Silicon Valley Group are taking hefty charges to operations in the current quarter after payment negotiations with the Thailand-based Submicron Technology PLC failed. The group had disbanded after Submicron failed to respond to the committee`s concerns, and several of the participating companies have released plans to absorb the los


World News


Europe

ASM Lithography, Veldhoven, The Netherlands, has won an order valued at more than $150 million for its advanced lithography tools from Micron Technology, Boise, ID. ASML`s deep UV and i-line steppers, which will be used to make advanced 16- and 64-Mbit DRAMs, are set for installation at Micron`s Boise fabs beginning in the 4Q. The Micron order includes ASML`s PAS 5500/300B, a deep UV system which incorporates 0.25-?m resolution with the capability of processing more than 80, 200-mm wafers/hr, an


Tech News


The 193-nm question: How far can it go?

The question at the recent 193-nm Lithography Symposium in Japan was not whether 193 is coming, but how far it can be pushed, says Karen Brown, director of lithography at SEMATECH, Austin, TX, which began the series of meetings two years ago. The event was attended by over 380 people, with strong showings from the US, Europe, and Asia.


Tech News


Eight Japanese IC firms plan pods for 300-mm

In a remarkable change of position, eight of the top 10 Japanese chipmakers have reportedly decided to equip their initial 300-mm wafer fabs with minienvironments, rather than using traditional open cassettes.


Tech News


Heat treatment delivers high strength sputter targets

Sputtering target manufacturers, like many other equipment suppliers, are beginning to come to grips with the requirements of 300-mm systems. Johnson Matthey Electronics (JME), Spokane, WA, recently introduced a high strength aluminum backing plate, named TITAN, for diffusion-bonded sputtering targets.


Tech News


Four Japan IC makers eye 300-mm in 98

The Japanese Selete consortium has disclosed results of a survey of its member companies, indicating that four of the top ten chipmakers in Japan intend to begin installation of equipment for pilot 300-mm production during 1998, with three companies eyeing the start of installation for volume production in the second half of 1999 (see figure).


Tech News


Canon introduces SOI-EPI wafer

Silicon-on-insulator (SOI) wafers, which offer improved isolation and reduced capacitance relative to bulk silicon, are promising for high-speed and low-power devices, especially in telecommunications. Market acceptance has so far been hindered by cost, and by the relatively poor quality of the silicon layer.


Tech News


SEMATECH benchmarks OPC software, mask-writers

One of the highlights of the KLA-Tencor Yield Management Seminar held during SEMICON/West was a summary of the SEMATECH J111 project, presented by Hua Zhang of Rockwell Semiconductor Systems. The goal of the J111 project was to benchmark current Optical Proximity Correction (OPC) software as well as the capability of different mask-writing tools. Five different developers of OPC software were asked to apply corrections of five different levels of complexity to the same test pattern. The five con


Asiafocus


DRAM fab strategies in Asia

There are many adoptable business strategies for a company and/or a country that wants to get involved in a hot new technology. Most strategies involve the new (junior) organization enticing a current technology-leading (senior) company into forming a relationship that leads to the transfer of key intellectual properties. This dynamic is continued by junior companies trying to join the big leagues of IC manufacturing, and by senior companies interested in optimizing capacity utilization.


Editorial


Parametric control for wafer fabrication:New CIM techniques for data analysis

CIM systems designed for VLSI wafer fabs often provide only limited capability for monitoring parametric variations.


Editorial


Shallow trench isolation for sub 0.25-um IC techniques

Somnath Nag, Amitava Chatterjee, Semiconductor Process and Device Center, Texas Instruments Inc., Dallas, Texas


Editorial


MEMS:The maturing of a new technology

Michael Pottenger, Beverley Eyre, Ezekiel Kruglick, Gisela Lin University of California, Los Angeles, California


Editorial


Bright field-bright future:Material defect detection with a laser scanning system

In wafer manufacturing, inspection of the finished material assures that the wafers produced meet customer expectations. In the past, some defect classes could not be detected by automated inspection systems - known generically as scanning surface inspection systems (SSISs) - and visual inspection was all that was available. A new technique integrates, for the first time, an automated Nomarski Differential Interference Contrast technique with an advanced SSIS. It can detect these defects with mo


Editorial


Of cabbages and kings-a potpourri

Information technology and its foundation, semiconductor technology, are so multifaceted and dynamic that every now and then we have to take stock of them in relation to the way our industry works. We need to re-establish a vision of the industry, while trying to make some sense of it.


Product News


Cluster tool wafer transport robot

The MagnaTran 7 robot uses Time Optimal Trajectory to optimize transfer speeds of both 200- and 300-mm wafers for multiple cluster tool applications, increasing the throughput of PVD, CVD, etch, RTP, clean, and ash cluster tools. Using direct-drive technology and digital signal processing, the robot offers precise control of movements that are critically damped to provide optimal velocity. Manufacturers can specify robot arm, end-effector, and firmware components to customize the MagnaTran 7 for


Product News


Trace moisture analyzer

Model 5900UHP is a trace moisture analyzer for ultrahigh-purity gases that features low-level sensitivity, multigas compatibility, fast response time, and low maintenance. It provides a statistical lower detection limit of <5 ppb moisture in nitrogen, argon, oxygen, hydrogen, helium, and many specialty gases. Dry-down response is good, with the instrument typically taking <10 min to reach 90% of the final reading from 2ppm to 100 ppb, and <30 min from 100 ppb to 0. The 5900UHP includes user-cont


Product News


Process gas monitoring and control

ULTRAPURGE 2000 is an automated gas control unit that offers enhanced communication capabilities and expandibility in gas system control. It works with industry-standard equipment and communication protocols, including RS232, RS485, Ethernet, and LONworks. Also, the Materials Management System integrates existing gas systems and provides users with greater access to system operating data. Based on the Intellution FIX32 SCADA system and working across multiple platforms, it aids in the supervisio


Product News


Point-of-use scrubbing system

The MYSTAIRE POU scrubber combines multiple scrubbing techniques in series for combined control of particulates (such as SiO2 and WO3) and gases that are present in combination in exhaust streams. Very long residence times yield high removal efficiencies. A nitrogen inlet prevents humidity from backstreaming to vacuum pumps and process chambers, eliminating plugging. Integral sump and mist eliminators are provided with each system. Options include complete instrumentation packages with PLC contr


Product News


Mass spectrometer-based gas analysis

The VG APIX ultrahigh-purity mass spectrometer-based gas analysis system uses API-MS technology to measure impurities to 1 ppt levels. It can monitor nitrogen, argon, helium, and hydrogen, and can measure contaminants such as water, hydrocarbons, and oxygen to sub-ppb levels. Because switching time between bulk gases is typically 10 min, CQC is possible with the single instrument. The system is fully computer-controlled, with user-programmable switching times, dwell times, calibration, and purge


Product News


Analysis of multiple maps

DefectAnalyzer provides a comprehensive analysis of multiple wafer maps. These composite maps of one to 200 wafer overlays can be generated from DefectAnalyzer`s wafer gallery, allowing users to display wafer map color-coded levels, classification codes, or defect size. It provides defect density, chip quadrant, and radial distributions. Galleries of wafers and images are based on users` selection criteria. A powerful charting package is included for Pareto, Trend, and box charts. DefectAnalyzer


Product News


Fab connectivity solution

Asyst-SWIFT (single-wire interface technology) is a Windows NT-based software toolset that integrates peripheral devices such as Asyst-SMIF devices, material tracking and control systems, barcode devices, and external sensors on semiconductor equipment. Compliant with SEMI standards for SECS II, GEM, and HSMS, SWIFT allows a single-wire connection to a fab`s computer integrated manufacturing network or manufacturing execution system. Its software components currently include ActiveTag, ActiveSMI


Product News


CVD system software

ModelWare/RT enables CVD operators to detect process and equipment faults as wafers pass through the chamber. This data can then be archived and correlated to deviations in film thickness measurements. The system`s data collector continuously collects trace data from the Watkins Johnson 998 CVD system`s SECS port. Data such as the recipe number, injector flow status and rates, temperature, belt speed, and the operating state of the tool can be collected and analyzed. Triant Technologies Inc., Va


Product News


Liquid waste management

WasteMate-110 provides complete liquid waste removal from one or more process tools and meets or exceeds SEMI S2-93 and CE Mark standards. It comprises two independent cabinets that share a dual wall. Each cabinet is constructed from dual-wall steel and has an independent exhaust and sump with 110% spill containment. Overall cabinet dimensions are 86 ? 33 ? 80.5 in. (L, W, H). Microbar Inc., Sunnyvale, CA; ph 408/542-9025, fax 408/541-1441


Product News


Vision inspection system

With a throughput >10,000 parts/hour, the ATS-10K SOP is a high-speed vision inspection system that checks for lead pitch, terminal dimension, and standoff. True lead coplanarity is also measured. The single-camera system and accompanying image analysis software perform mark inspection. Scanned devices that pass the inspection process enter an output tube shuttle module; rejected parts are removed by a high-speed pick-and-place sort mechanism. American Tech Manufacturing, Glenolden, PA; ph 610/4


Product News


Die bonder and component placer

The T-3102 die bonder, which can be changed from manual operation to fully automatic at the touch of a switch, removes die by pulling down the foil while the die, supported by the fixed needle, remains stationary. Consequently, there are no dynamic forces arising through the acceleration of the die and all pickup tool assembly parts. This reduces the danger of die failure and surface damage, and ensures higher alignment accuracy between the pickup tool and die ejector needle. Other features of t


Product News


Chipscale packaging assembly system

The 505 CSP system is used to manufacture ?BGA and chip scale packages. It removes chips directly from a diced wafer and places them (flipped) pattern-down onto CSP polyimide tape (interposer) mounted on lead frames or indexed reel-to-reel. Real-time alignment is used to align the pads on the chip with the features on the CSP tape to within 5-?m accuracy. The system operates at a rate of 1500/hr. MRSI, Chelmsford, MA; ph 508/256-4950, fax 508/256-5120


Product News


Three-stage vacuum inlet trap

The MV MULTI-TRAP vacuum inlet trap keeps vacuum process systems free from residues, to reduce or eliminate pump failures and clogged lines. It features a stainless steel knock-down stage to trap heavy particles and condensables, and two parallel stages, each containing five to eight user-selectable filter elements. Available with eight types of filter, the trap optimizes nongaseous effluent removal from many wafer processes, including LPCVD, PECVD, and plasma etch. MV Products/Mass-Vac Inc., No


Product News


Spin coater

Model P6712 is a programmable desktop spin coating system for the application of photoresists, polyimides, metallo-organics, dopants, silica films, and most organic and aqueous solutions. The system executes one of three fully automated, user-determined coating sequences under the supervision of a programmable logic controller. Each recipe consists of multiple ramp up, hold, and ramp down steps in the range 500-8000 rpm. Acceleration and deceleration rates are computer-calculated, based on the d


Product News


Dissolved ozone analyzer

The Model dFFOZ sensor module provides continuous, in-line measurement of ozone over the concentration range 0-100 milligrams/liter in a full-flow recirculating stream. It is designed to be installed right at the wet bench cleaning bath, directly in-line in a recirculating stream, eliminating the waste and inconvenience of side-stream sampling. It provides straight-through measurement of dissolved ozone in deionized water at flow rates as high as 40 gallons/min. The sensor wetted materials are s


Product News


High-purity ammonia High-purity ammonia

"Blue" ammonia, developed for use in ultrasensitive gallium nitride processes for the production of lasers and LEDs, is a high-purity ammonia that gives the lowest possible levels for oxygen-bearing compounds, water, carbon compounds, and metals. Solkatronic Chemicals Inc., Fairfield, NJ; ph 201/882-7900, fax 201/882-7967, e-mail [email protected]


Product News


Modular valve for CMP Modular valve for CMP

The MACE modular valve system is constructed from HyQ PTFE, an advanced fluoropolymer made from 100% virgin PTFE Teflon, which is impervious to attack by CMP slurries. The design of the valve reduces wear and eliminates the problems of valve seat sanding. The reinforced poppit design prevents cracking or leaking, for fail-safe performance. Osmonics, Minnetonka, MN; ph 800/334-2770, fax 612/933-0141


Product News


Post-etch residue removers

NP-968 is designed for post-metal etch polymer removal on metal lines containing titanium, effectively removing sidewall polymer without attacking the titanium adhesion layer. NP-970 is formulated for sidewall polymer removal with corrosion control for copper and aluminum metals, and is well suited to cleaning via layers down to copper metal in Damascene processes. Neither formulation contains any SARA Title 3 reportable components. Ashland Chemical Co., Dublin, OH; ph 610/559-6500, fax 610/559-


Product News


Furnace for C4 reflow

The TCAS controlled-atmosphere furnace is designed for both high- and low-temperature C4 reflow applications. It provides the temperatures and atmospheres required for wafer bump reflow, chip interconnect reflow, and final underfill and sealing cure. An advanced gas barrier system maintains hydrogen atmosphere purity to better than 95%. TCAS meets cleanroom requirements (typically Class 1000 or better), with quartz tubes to minimize friction and reduce belt particles, gas-tight curtains to seal


Product News


Cluster tool for low-k spin-on dielectric processing

The LK 800 IMD processing system delivers superior planarization of 40-80% over wide metal lines and spaces (to 200 ?m). In addition to providing good film thickness distribution and <1% nonuniformity, the process design reduces contamination and environmental sensitivity. Multiple single wafer curing options are available, including 500?C hotplate, e-beam, and DUV technologies. The hotplate provides heating and cooling in an inert ambient with rapid, in-situ cool down to <200?C. Fairchild Techn


Product News


Shallow trench critical etch process

The 6510 HRe shallow trench isolation process module features 75-89? variable programmable profile control, ensuring the integrity of subsequent deposition processes. The process module is targeted at 0.18- and 0.25-?m shallow trench isolation applications, where top- and bottom-corner rounding is a critical requirement. Tegal Corp., Petaluma, CA; ph 707/763-5600, fax 707/765-9311, e-mail [email protected].


Product News


Multifunction solenoid valve

The Nereus Model HK5 multifunction single solenoid valve has multiple porting configurations that allow its use as a standard fill/exhaust, two-way or three-way, either normally open or normally closed, or as a selector or diverter. It features a diaphragm-poppet valve design that has no sliding seals and no metal-to-metal contact, and requires no lubrication. A direct-acting solenoid with an isloated coil has minimal armature travel, for fast response and rapid cycling. Voltages are 4.5, 12, or


Product News


Miniature pressure regulators

The Mini CLN series of ultrahigh-purity miniature pressure regulators has a springless/threadless design and electropolished surface finishes of 10 Ra or 4 Ra. The compact design (3 ? 4 ? 1.5 in. - W, H, D) provides a low internal volume of <1.3 cc, and optimum pressure control. Maximum inlet pressure rating is 500 psig; available control pressure range is from 0-10 to 0-250 psig. The unit comes standard with a 0.04 Cv flow coefficient. GO Inc., San Dimas, CA; ph 909/599-6745, fax 909/599-8199.


Literature


Vortex flow meter catalog

This catalog describes specs for a line of vortex flow meters. Thermoplastic Vortex Flow Meters provide repeatable ?1% accuracy without fluid contamination because they have no moving parts. Pipeline flow rates are measured electronically using vortex-shedding technology. Unlike stainless steel or paddle wheel designs, vortex units are suitable for aggressive or easily contaminated flow media such as ultrapure water in semiconductor-


People


Materials Research Corp. Materials Research Corp.

Materials Research Corp. (MRC), Gilbert, AZ, has appointed Kenneth T. Barry president of the company`s Advanced Materials Division in Orangeburg, NY. With MRC since 1991, he has held the post of VP and GM for the division since 1994. Thomas Kandris has been named VP of global business operations. Prior to joining MRC, he served as VP for


Eurofocus


SEMICON/Europa to move

The long-rumored move of SEMICON/Europa from Geneva to Munich will take place in 1999. SEMI officials announced that the show will remain in Geneva next year but move to the New Munich Trade Fair Center for the 1999 show, scheduled for April 13-15. A move away from Geneva has been under discussion for several years. Last fall, the trade association sponsored a survey of show participants that found 58 percent responding positively to the idea of a move. SEMI hopes that attendance will increase i


Eurofocus


Ashland completes upgrade at Milan production facility

The Electronic Chemicals Division of Ashland Chemical Co., a division of Ashland Inc. and a supplier of specialty chemicals, has completed a series of capital improvements at its Milan, Italy, ISO 9002-certified production facility. These include installation of a high-volume, ultrapure water plant and bulk storage for incoming material and finished product. An acid-blending facility has also been added for high-purity hydrofluoric acid and other mixes; this system contains ten tanks fitted with


Eurofocus


US firms invest in Scotland

Several US-based electronics companies are starting up Scottish facilities aided by Locate in Scotland, Scotland`s inward investment agency. DuPont Photomasks Inc. recently began construction on a new plant. Representing a planned investment of more than $31 million, the facility is expected to create 100 new jobs. Simple Technology, a California-based manufacturer of memory products, announced plans last year to establish its European headquarters in Scotland, creating 360 jobs over the next fi


Eurofocus


GPS to be sold

GEC Plessey Semiconductors (GPS), a subsidiary of the UK`s General Electric Co. (GEC), and the last of Britain`s original IC manufacturers, has been put up for sale. Formed in 1990 by a merger of semiconductor companies Ferranti, Marconi, and Plessey, GPS has fabs in Swindon, Roborough, Oldham, and Lincoln. Roborough, its biggest facility, has 0.5-micron digital CMOS capability and is introducing 0.35-micron technology. GPS`s 1996 sales totals were down from those in 1995; this year, it had sal


Letters


Congratulations on 40th anniversary Congratulations on 40th anniversary

I have been a fervent reader of Solid State Technology for more than 20 years. As you celebrate your 40th birthday, I want to take this opportunity to congratulate you and tell you what wonderful work you are doing, providing us with a large and consistent amount of information. I am impressed by SST`s staff and the efforts you are making to maintain your lead in the complex and growing semiconductor sector.


Services


Gas delivery system

This express gas delivery service offers 24-hr shipping on more than 500 specialty gas products in transportable, nonreturnable cylinders. If an order is placed by 4 pm EST, the SCOTTY Express Service guarantees shipment within 24 hr or it will pay freight costs. Scott Specialty Gases, Plumsteadville, PA; ph 800/772-6889, www.scottgas.com.


Services


Cleaning development program

The Critical Cleaning 2000 Development Program aims to increase the cleaning process knowledge of original equipment manufacturers and establish cleaning benchmarks for next-generation wafer manufacturing. The semiconductor industry is projected to manufacture most computer chips in the 0.35-0.25 ?m linewidth range by the year 2000; this level of production requires critical cleaning processes that effectively remove minute particles and leave no residue. Offering manufacturers the ability to wo


Services


Optical metrology book

This 433-page volume titled Optical Measurement Techniques and Applications describes new metrology methods and their use in solving specific measurement problems. Contents include optical metrology of engineering surfaces - scope and trends; digital processing of fringe patterns in optical metrology; interferometric optical testing; holographic interferometry; speckle photography, shearography, and ESPI; photoelasticity and moire; optical fiber sensors; fiber optic smart sensing; holographic me


Services


Fall 1977 SEMI publications catalog

This 48-page catalog describes a selection of semiconductor industry publications, technical papers, and educational materials on topics like 300 mm; chemical mechanical polishing; cleanrooms; cleaning; CVD; etch; microelectromechanical systems; microlithography; packaging; perfluorocompounds; software; standards; statistics; flat panel display; gases; chemicals; Asia; Japan; manufacturing; fabs; management; and materials. Other information in the catalog includes a description of SEMI and a lis


Services


Electronic materials defects book Electronic materials defects book

This 710-page volume titled Defects in Electronic Materials II is a collection of research papers from the 1996 Materials Research Society (MRS) meeting in Boston, MA, on problems, progress, and methods in defect studies in electronic materials. Topics include: new techniques in defect studies; processing induced defects - plasma-induced point defects, defects and gate oxide integrity, and point defects and reaction; point defects and interactions in Si; impurity diffusion and hydrogen in Si; di


Services


Global equipment management services

A newly formed company provides global equipment management services to the semiconductor industry. The company will buy, sell, refurbish, install, and service surplus chipmaking equipment, a single piece or an entire fab, through a network of 21 sales and service offices in North America, Europe, and Asia. Integrated Solutions International, Austin, TX; ph 512/719-1600, fax 512/833-7072


Services


Total Chemical management lease program

This program is designed to help microelectronics producers stretch their resources through affordable investment in chemical distribution equipment. Providing guidance and assistance through every step of the process, representatives of the Total Chemical Management Capital Lease Program will aid microelectronics manufacturers in analyzing their chemical distribution equipment needs, and then help to design, select, finance, and deploy the technology and equipment needed to achieve their produc


Services


Compound semiconductor book Compound semiconductor book

This 1051-page volume titled Compound Semiconductors 1996 contains the proceedings of the 23rd International Symposium on Compound Semiconductors held in St. Petersburg, Russia, September 23-28, 1996. Topics covered include: nanoelectronics and nanophotonics; epitaxy and in situ processing; visible emitters; heterostructure photocells and photodetectors; heterostructure transistors; optoelectronic integrated circuits; high-power, high-temperature devices; modeling and simulation; quantum effects


News


News Update

In an effort to leverage its expanded post-merger size, KLA-Tencor Corp., San Jose, CA, has established the Robotics and Integrated Technologies Division for development of common subsystems that will be used across the company`s lines of inspection and metrology products. The division will comprise a number of pre-existing efforts within the former independent KLA and Tencor operations, and will drive both selection and development of handlers, stages, and other subsystems. One initial priority


Products


Software-controlled SEM

The LEO 1500 series SEM integrates the GEMINI electron optical column with a new generation of electronics, giving a powerful user interface operating under Windows 95. A super-VGA screen display and an image processor allow pixel resolutions up to 3072 ? 2304, ensuring that all details resolved by the instrument are captured for further analysis, stored to disk as TIFF files, transferred by network or modem, or printed using a variety of Windows printers. LEO Electron Microscopy Ltd., Cambridge


Products


Inspection system for CMP

The KLA-2138 is a patterned wafer inspection system that addresses CMP and other advanced applications; it is optimized for metal and trench CMP. Based on the 2135 inspection platform, the 2138 combines an ultra-broadband illumination source and improved bright field optics with Segmented Auto Thresholding. Together, these increase defect sensitivity and capture, while reducing or eliminating false defect counts in challenging processes. The system also features IMPACT/Online ADC, which provides


Products


Wafer defect review system

This system is designed for the inspection of 150-, 200-, and 300-mm wafers. The software interface allows the operator to move easily to a prescibed wafer location or die. The system drives the stage precisely to the defect for review and reclassification, and can also store an image of the defect for later analysis. A graphical interface wafer map shows the operator the exact XYZ position of the stage and all the defects in the area. Simple arrow buttons permit precise movement from die to di


Products


Automated stress measurement

FSM128C2C is a fully automated stress measurement tool for 300-mm wafers. It features an integrated SMIF minienvironment and SECS/GEM compliance. Throughput is 80 wafers/hr for a 300-mm scan. The number of data points is up to 12,000, for good data integrity. Auto Dual Laser, Auto Calibration, and Auto Intensity ensure complete automation, regardless of film material and thickness. The Auto Wafer Thickness Measurement option accurately measures wafer substrate thickness and inputs it into the sy


Products


In-situ metrology system

The 1200-IS in-situ metrology system is a smart sensor for measuring rate, endpoint, and uniformity during both etching and deposition, in up to four processing chambers simultaneously. The system consists of up to four computer-controlled CCD-based sensors, filters, optics, and software. Each sensor head is mounted directly onto an etching or deposition tool, wherever there is a clear view of the wafer. The 1200-IS also incorporates advanced communication with both the tool and the fab, decreas


Products


Surface profiler

The MP3000 noncontact automated wafer profiling system measures the polished side, back side, and edge of 200- and 300-mm wafers. It is a single, ergonomically designed workstation consisting of a laser-based, noncontact surface profiler, an automated staging mechanism that can position the wafers in five orientations, a robotic cassette-to-cassette transfer mechanism, and computer hardware and software for producing real-time views and full-color reports of microscopic surface measurements. Des


Products


Scanning probe microscope system

The Accurex II SPM system uses TrueMetrix, which provides closed-loop scan linearization to ensure accurate images. This design uses an inherently linear sensor to measure scanner motion, integrated into a real-time feedback loop. This results in highly accurate measurements in both lateral and vertical axes. (Accuracy is ensured at all scan ranges.) Also, when a feature of interest is located on the sample surface, it can be selected for detailed analysis. The zoomed image will be of the desir