Table of Contents
Solid State Technology
Year 1997 Issue 7
| DEPARTMENTS
World News Worldwide highlights
Market research firm Dataquest, San Jose, CA, is forecasting another up year for the worldwide PC industry, which consumes nearly one-third of worldwide chip output. First quarter results show the market grew 15.4%; and because 1Q is traditionally slow for the PC market, Dataquest analysts believe the worldwide PC market still may grow 19% this year. The US PC market itself grew 15.2% in 1Q, and Dataquest`s computer group director Bill Schaub said the US commercial marketplace is expected to be
World News USA
Applied Materials, Santa Clara, CA, and Novellus Systems, San Jose, CA, have called an end to their ongoing patent litigation battles, with Novellus agreeing to pay out some $80 million in damages to its rival. Novellus will also pay Applied a royalty for all future shipments of its TEOS-based systems. The settlement also brings an end to all outstanding patent lawsuits between the two competitors, including counterclaims and appeals filed by Novellus, and separate claims filed by Applied allegi
World News Japan
Japan outsources DRAM production. Citing a lower cost of production and declining memory prices, some of Japan`s major chipmakers have begun outsourcing their 16-Mbit DRAM production to foreign companies, according to the Nikkei Sangyo Shimbun newspaper. Fujitsu and Toshiba are reportedly now receiving memory supplies from Taiwanese makers. By this summer, Fujitsu expects more than 40% of its memory will come from foreign sources. Similarly, Hitachi has widely increased its memory supply from So
World News Asia/Pacific
With a planned investment of $110 million, Samsung Display Devices, Seoul, South Korea, will begin production of 600 ? 720-mm color filter substrates next year. The company has already invested $150 million in its 370 ? 470-mm and 550 ? 650-mm color filter substrate lines, and is producing about 44,000 units/month at its Chonan facility. Samsung, which plans to export the color filters to Southeast Asia, expects localized production will save Korean industry $100 million on import costs this yea
World News Europe
ASM Lithography (ASML), Veldhoven, The Netherlands, has opened a new manufacturing facility in Holland, and expects to have a prototype 300-mm stepper by the end of this year. The company hopes to ship a 193-nm machine to its research partner in 2Q98. ASML`s new manufacturing site immediately increased its capacity to 350 steppers/year, and could potentially bring the total to 450/year within six months. It includes 52,000 ft2 of Class 10,000 manufacturing space, a logistics center, and offices.
World News VLSI Research releases 10 Best semiconductor capital equipment suppliers
The 10 Best awards in customer satisfaction are presented annually by VLSI Research Inc. to each 10 Best company in the categories of test and material handling equipment, process diagnostic equipment, small suppliers of wafer processing equipment, large suppliers of wafer processing equipment, and assembly and specialty equipment.
Market Watch Wafer trek: The next generation
In the past, in order to process chips in a more cost-efficient manner, the industry has continuously increased the diameter of wafers. The 300-mm wafer generation is the next step in that progression. For a given quantity of silicon, with all other things held constant, it should cost semiconductor manufacturers 75% as much to process 200-mm wafers as it will cost to process 150-mm wafers (Fig. 1). Chipmakers processing 300-mm wafers will incur half the costs of their competitors running 150-mm
Lithography Automated inspection of OPC and PSM masks
Wolfgang Staud, Karen Huang, Patricia Beard, Photronics Inc., Milpitas, CaliforniaYair Eran, Applied Materials/Orbot Instruments, Santa Clara, California
Lithography EUV lithography
The origin of EUVL can be found in a 1988 paper [1]. It proposed that recent advances in "high" reflectivity soft x-ray mirrors could enable an all-reflective, projection lithography system operating at wavelengths around 13 nm. The authors called their proposed technology Soft X-ray Projection Lithography (SXPL) and suggested that it could be used to print features at 0.1 ?m.
Lithography Optimizing cluster tool throughput
Case studies have analyzed factors such as wafer-handling options, cluster tool configuration, front-end tool performance, process times, and other activities and evaluated their effects on throughput. These studies have motivated changes in original tool configuration designs, resulting in better throughput performance at less cost than originally anticipated.
Lithography Brush scrubbing emerges as future wafer-cleaning technology
Much of the early brush-scrubbing data, with a few exceptions [1], was not published. Therefore, many experienced people in the wafer-cleaning field are still under the misconception that PVA brush scrubbing is not effective for the removal of submicron surface particles. The data presented in this article demonstrates the ability of double-sided scrubbing to remove particles as small as 0.12 ?m without damaging the wafer surface.
Tech News Large tilt-angle implantation enables smart-power Ics
The latest generation of Thompson-CSF`s BCD (bipolar, CMOS, DMOS) process, BCD5, can combine numerous CMOS device types along with high-voltage DMOS power transistors on a single substrate. BCD5 uses 0.6-?m linewidths to implement microprocessor cores and EPROM, EEPROM, or flash EPROM memory. The DMOS transistors operate at 16, 20, 40, or 80 V (see figure).
Tech News CMP takes on STI
As oxide CMP matures, development efforts are focusing on shallow trench isolation (STI), metal planarization, and other advanced applications. According to Miland Weling of VLSI Technology, speaking at a recent Solid State Technology-sponsored seminar in Santa Clara, CA, CMP pattern density effects are especially important in STI. Unlike conventional LOCOS isolation, STI leaves behind a highly planarized surface (Fig. 1), improving depth of field for subsequent lithography steps. Trench isolati
Tech News Oxford upgrades sychrotron x-ray source
Oxford Instruments has developed an improved second-generation compact synchrotron for use in x-ray lithography applications, and will be ready to ship the systems within 6-12 months.
Tech News SEMATECH, Veeco work on AFM improvement
SEMATECH will work to develop the critical dimension metrology capabilities of the Veeco Surface Metrology Dektak atomic force microscope (AFM), for both mask and wafer applications, under terms of a new agreement.
Tech News PRI patent for 300-mm wafer handling used in intra tool buffer
The US patent office has awarded PRI Automation, Billerica, MA, a patent for its 300-mm wafer transfer system with rotational capabilities. The patented technology, known as PRI`s Virtual Cassette, is said to allow every process tool in the fab to be linked to intrabay automation systems while aiding the adoption of pod and tool interface standards. The Virtual Cassette is also a feature of the company`s 300-mm wafer lot buffering system, known as the Intra Tool Buffer (ITB) announced last fall.
Tech News Hoechst AZ markets 193-nm, CARL products
Hoechst Celanese, producer of the AZ line of photoresists and ancillary products, is investing $80 million to expand its worldwide electronic materials facilities as part of a broad effort to gain market share over the next few years. In addition, the AZ business unit is beginning to sample 193-nm resists, and is commencing a marketing effort for chemical amplification of resist lines (CARL), a Siemens-developed process that could extend i-line lithography to 0.25 ?m on certain device layers.
Tech News Etch microloading creates damaging
The "latent antenna" effect, a very interesting cause of plasma-charging gate-oxide damage, was presented by Kobe Steel, Texas Instruments, and Stanford University at the recent Plasma Process-Induced Damage Symposium in Monterey, CA. In a 0.8-?m/min metal etch process, microloading effects reduce the etch rate inside dense structures by 5%. Until the field metal clears, there is no charging (Fig. 1a). After all the metal, including in the bottom of dense structure, clears, there are slight (per
Tech News Mura, mura on the wall, whos the fairest of us all?
The addition of liquid crystal during cell assembly of thin-film transistor (TFT) arrays often introduces subtle smears, termed "mura" in Japan and "alluk" in Korea. Muras are mid-to-low (0.5-10 cycles/degree) spatial frequency defects with varying forms of low contrast display discoloration, which are very difficult to detect, identify, and distinguish visually (see "Tradeoffs in panel designs for cell testing," August 1995, p. S17, and "Plate design and cost of ownership for in-process FPD tes
Tech News Mirror, mirror on the wall, whos the smallest of us all?
Most people have learnt about virtual images in geometrical optics, but who would have guessed they will be used in information displays? Kopin Corp. recently introduced CyberDisplay, a 0.24-in. high resolution AMLCD. When viewed through the 16? field of view acrylic lens (0.77?0.65?0.28 in.), the virtual image looks like one on a 20-in. diagonal screen 5 ft away. The CyperDisplay has a resolution of 320?240 pixel and a density of 1700 lines/in. with the display and backlight fitting neatly into
Tech News Ultratech Steppers P-GILD to demo
Ultratech Stepper is disclosing details of its projection gas-immersion laser doping (P-GILD) process to customers, and expects to have a next-generation test-system demo sample by mid-July. P-GILD creates patterned junctions in a single process module without the need for photolithography.
Asiafocus Semiconductor and
Korea`s modern economic development is often called the "Miracle of the Han," referring to the river that flows through the capital of Seoul. Korea is embracing radical market reforms and seeking to integrate its economy into the global marketplace. The Korean semiconductor industry`s contribution in this direction is enormous.
Asiafocus The seven deepest equipment integration pitfalls
The beginning of the tool purchasing cycle, when the long list of potential vendors is being narrowed, is the time to meet your tool vendors head-on. Usually a tool`s processing features are touted as the deciding factors. You must impress upon the selection committee the importance of the tool`s interface. Let the selection committee know that any tool with a weak interface inhibits the automation process-no matter how robust its processing capability. This is no easy sales job. Remote-to-host
Eurofocus Euro Focus
IMEC and ASML join forces on 193 nm. IMEC, an independent semiconductor research center, and ASM Lithography (ASML) have jointly launched a cooperative R&D program in 193-nm deep-UV lithography, to take place in IMEC`s Class 1 cleanroom facility at Leuven, Belgium. According to the IMEC newsletter, the purpose of the program is to develop advanced optical processes capable of producing linewidths as small as 0.13 micron. Leading semiconductor manufacturers are invited to participate in the 193-n
Letters Letters
To the staff of Solid State Technology, thanks for a great 40th anniversary issue. I read it cover-to-cover. I especially enjoyed Jonathan Davis` article on SEMI ("SEMI: The growth of a global association," p. 74).
Services Flat Panel Display report
This eighth edition of Flat Information Displays analyzes competing FPD technologies in terms of technological development, sales, suppliers, and future prospects. The market analysis in the report includes forecasts through 2003 and provides tabulated data on unit shipments, value of shipments, and average selling price for all application categories including LCDs, FEDs, plasma displays, and electroluminescent displays. A detailed database in the appendix provides actual and forecast sales da
Services Site License Program for electronic newsletter service
Semiconductor Equipment and Materials International (SEMI) has established a site license program for its electronic newsletter service that will provide rapid access to industry-specific news to more readers worldwide. The SEMI newsletter service is an electronic source of marketing and technology information that comprises more than 50 topically organized newsletters, authored by top industry experts. The new service will give the subscriber a cost-effective solution for distributing the newsl
Services Advanced Metallization and interconnect system book
Just published as the 13th of the Advanced Metallization for ULSI Applications series is the volume titled Advanced Metallization and Interconnect Systems for ULSI Applications in 1996. In this volume, based on conferences held in October 1996 in Boston, MA, and Tokyo, Japan, is the keynote address on scaling high-performance interconnects. Additional topics include advanced aluminum metallization; advanced copper metallization; silicides/barrier metals; low-/high-k dielectrics; and chemical mec
Services Amines monitoring service
The Airelab Amines Detection Service provides qualitative and quantitative analysis of ammonia and a variety of other common airborne amines with 100 ppt sensitivity. The sampling procedure is performed by a trained technician using special sampling equipment that has been designed for low-ppt-level amines testing. Total sampling time is 3-6 hr, and the sampling equipment is cleanroom compatible, quiet, and compact. The sampling equipment is placed as near to the target zone as possible, given d
Services Basic IC Reference Manual
This source titled Basic Integrated Circuit Technology Reference Manual provides information on IC products, manufacturing, technology, and nomenclature in terms understandable to the layman. Key topics include crystal growing; plastic quad flatpack; growing silicon dioxide; depositing thin-film material; depositing metals on the surface of the wafer; adding an electrical charge; transferring images; putting the chip in its housing; and the cost of making ICs and building IC facilities. Also inc
Services GaAs Technology report
This second edition of the report titled Gallium Arsenide: Electronic Materials and Devices provides detailed insight into the global developments of the GaAs industry over the next five years, and the implications for both suppliers and users of GaAs technology. Supported by data compiled from a worldwide research program, it carefully examines the technical developments, market outlook, and relative positions of the major players in GaAs electronic materials and devices. GaAs technology will p
Services analytic crystallography
This service is based on Orientation Imaging Microscopy (OIM), and provides microtexture mapping, grain boundary characterization, and phase identification of polycrystalline materials. Available on both scanning (SEM) and transmission electron microscopes (TEM), the OIM service facilitates the characterization of microstructures down to nanocrystalline levels. It focuses on the crystallographic aspects of interconnect reliability (electromigration, hillocks, stress voiding); thin films and meta
Services 1997 Semiconductor company report
This 635-page resource titled The International Semiconductor Company Profiles Report - Manufacturers details the operations of more than 400 wafer fabrication-based IC companies throughout the world. The report includes information on more than 800 company manufacturing sites and over 1000 support facilities sites, including manufacturing and production, foundry customers and suppliers, financial data, products, and business agreements. Profiles are sectioned by geographic region and sales. Reg
Services Interim surface mount tantalum capacitor spec
This publication (EIA/IS-717) titled "Surface Mount Tantalum Capacitor Qualification Specification" defines the qualification program for surface mount tantalum capacitors. Spec sheets can be added, as required, to define specific products or to cover unique/specific requirements. This document is designed to be used in conjunction with a company`s own internal qualification program, and was developed by the EIA P-2.5 Electrolytic Dielectric Capacitors Subcommittee under the chairmanship of Davi
Services nanostructured materials study
This study reports on the present and future US market for nanostructured particles and coatings. Nanostructured particles, manufactured in the form of dry powders and liquid dispersions, dominate the market in accounting for 97% of the total over the forecast period. The market for nanostructured particles was worth $41.3 million in 1996, and is projected to increase to $148.6 million in 2001, reflecting an AAGR of 29.2%. Although the market for nanostructured coatings is smaller than the parti
Product News marangoni dryer
The MARANGONI Dryer processes wafers of up to 300 mm; it has particle-, organic-, and metallic ion-free performance, with low COO, for both hydrophilic and hydrophobic wafers. Using the Marangoni effect, the dryer operates without any mechanical stress and with very low IPA consumption. The system is compatible with carrier and carrierless designs, and is available in stand-alone and modular versions. Steag Microtech Inc., Austin, TX; ph 406/755-8367, fax 406/755-8368.
Product News Fast Ramp Furnace Enhancement
The A400i is a fast ramp enhancement of the Advance 400 clustered modular vertical furnace. It reduces process and cycle time and increases throughput by more than 50%, and it has a fast temperature control that permits mix-and-match wafer processing with regular furnaces and retrofit fast ramping on an installed base. It also supports the dual-boat operation and nitrogen-purged ambient control features of the A400. ASM Europe, Bilthoven, The Netherlands; ph 31/30-229-8411, fax 31/30-229-3823.
Product News Wet Etching
The Spin Etcher Front Master`s state-of-the-art process and equipment technology allows automatic single-wafer wet chemical processes for backside and frontside wafer treatment. It is used for versatile applications such as oxide removal/thinning using dilute HF, silicon structuring (isotropic etch), and polysilicon etch after poly spacer removal and after poly buffered LOCOS. Yield improvements result from low particle and heavy-metal contamination, high selectivities and etch rates, good unifo
Product News Thin film growth
The EFM T3 UHV triple cell evaporator is designed for high-purity thin-film growth. Mounted on a single 2.75-in. OD Conflat style flange, each cell has an independent filament and flux monitor, eliminating the risk of cross-talk. The flux monitor feature allows very precise dosing, since the flux can be adjusted before opening the integral shutter, designed for simultaneous or sequential cell evaporation. Each cell can be operated in excess of 3000?C, with wire or crucible-held evaporant materia
Product News Wet Chemistry composition analyzer
These four chemical analyzers provide real-time, in-situ monitoring of SC-1, SC-2, SPM, and HF concentrations in semiconductor processes. Benefits include prevention of wafer scrap by reduction of incorrect mixing of chemicals, improved cleaning efficiencies due to better particle performance over the bath life, and reduced chemical usage. The frequency of bath change-outs is reduced, resulting in higher equipment availability and fewer witness wafers. Tres-Ark Inc., San Diego, CA; ph 541/998-46
Product News Wafer Processing Platform
The Clean Track ACT 8 platform is designed for large-diameter-wafer processing and 0.18-?m lithography. Features include: dual stacked spinner modules; seven-tiered thermal processing units; triple-arm wafer transfer; an optical edge bead removal unit integrated with the stepper interface; coating technologies that reduce consumption of ARCs and chemically amplified resists; and a system layout that eliminates the need for one-axis of movement of the robotic transfer arm. Tokyo Electron America
Product News Thin film deposition controller
The Clean Track ACT 8 platform is designed for large-diameter-wafer processing and 0.18-?m lithography. Features include: dual stacked spinner modules; seven-tiered thermal processing units; triple-arm wafer transfer; an optical edge bead removal unit integrated with the stepper interface; coating technologies that reduce consumption of ARCs and chemically amplified resists; and a system layout that eliminates the need for one-axis of movement of the robotic transfer arm. Tokyo Electron America
Product News Laser Exposure system
The XL-2 laser exposure system is designed for 193-nm deep-UV photoresist research. It delivers programmed doses of 193-nm UV energy to experimental wafers. System features include: an optical attenuator to adjust beam fluence; a laser beam profiler to maximize beam uniformity; an in-line dose detector to monitor energy; UV lenses, to homogenize and shape the laser beam; a large exposure field (20 ??20 mm); a helium neon laser to align the optics; a nitrogen-purged beamline; and a control system
Product News DUV photoresist
ARCH 5200 is a deep UV photoresist that features 0.20-?m resolution and has 1.4-?m depth of focus for 0.30-?m contact holes. It is based on acetal chemistry with cross-linked polymer technology, for very good post-coat and post-exposure delay stability. ARCH 5200 also exhibits low sensitivity to changes in post-exposure bake temperatures, as well as thermal deformation temperatures exceeding 135?C. Olin Microelectronic Materials, Norwalk, CT; ph 401/435-2613.
Product News Film thickness measurement
The VANGUARDMetaPULSE 200 is a noncontact system for measuring individual thicknesses of six layers or more in a multilayer metal film stack. Using PicoSecond UltraSonic Laser Sonar (PULSE) technology, it measures films - ranging in thickness from <20? to >5 ?m - with ?-thickness accuracy and sub-? repeatability. MetaPULSE`s nondestructive measurements, pattern recognition, and 10-?m spot size allow measurement within existing product wafer metrology sites and to the wafer`s edge, for reliable f
Product News Thin Film measurement
The SE 850 spectroscopic ellipsometer is a broad-range UV/VIS/NIR instrument in the spectral range from 250-1700 nm. The system is based on high-resolution multiplex detection in the UV/VIS. The NIR spectral range is made possible by applying FT-IR spectroscopy, which adds speed, high resolution, and a high signal-to-noise ratio, as well as providing automatic wavelength calibration. Very high measurement speed allows whole spectra to be measured within 30 sec, with a high spectral resolution (1
Product News CD-SEM
The MI-3080N is a fully automatic, high-precision CD-SEM for nondestructive measurement of 0.18-?m devices. Retarding Field Column technology allows 3-nm resolution or better at 800 V with >3-nm (3s) repeatability. A laser interferometer feedback stage travels to exactly the same area each time, with stage positioning of ?1 ?m without operator intervention. At throughput of 40 wafers/hour, the MI-3080N provides six distinct measuring algorithms that can be programmed into recipes in order to eli
Product News CDM tool
This critical dimension measurement (CDM) tool provides force-sensor measurement accuracy and repeatability, combined with high productivity, through cylindrical, durable tips and scanning algorithms that speed measurement time. The balance beam force sensor and the instrument`s tip are independent. This allows greater probe tip material compatibility, including the use of highly durable, chemically etched, optical-fiber tips that provide a wide range of tip shapes and sizes, and tips that allow
Product News Automated AFM
Designed as an on-line tool for the fab, The Dimension 9000 automated atomic force microscope (AFM) includes automatic probe characterization, replacement, and alignment, removing the need for operator intervention. The probe characterization software continuously evaluates the condition of the probe, using the sample under study. When the software determines that the probe is worn or broken, a new probe is loaded automatically from a cassette holding 24 tips. Once mounted, the probe is automati
Product News Automated vacuum Spectrophotometers
The CAMS series of automated vacuum spectrophotometers allow for automated measurements of reflectance, transmittance, and absorbance of samples from 115-350 nm, and have the ability to operate under vacuum for more reliable measurements at 193 nm and below. The systems are controlled by spectroscopy/data acquisition software, written specifically for sample testing. Acton Research Corp., Acton, MA; ph 508/263-3584, fax 508/263-5086, e-mail [email protected].
Product News Wafer Inspection handling
The 5E Dynascope is now integrated with WED`s Microloader or Irvine Optical Corp.`s Ultrastation 3C wafer-transfer systems. Both systems are modular and include handling options to allow measurement of wafer features as well as the Dynascope`s pure inspection capabilities. The Dynascope electronic filar and microprocessor, or displacement measuring stages, may be specified. Throughput of 900 wafers/hour can be achieved. The handlers, each accepting wafers up to 200 mm, offer single-button operat
Product News Liquid Source delivery system
This new system provides accurate and repeatable flow control of source vapor into a process chamber. The system consists of: a liquid mass flow controller; an evaporator to vaporize the liquid before introduction into the process chamber; an additional mass flow controller for the carrier gas that is to be mixed with the source vapor; a heat exchanger to heat the carrier gas; air shutoff valves to integrate the components; and an electronic module to control system operation. Aera, Austin, TX;
Product News Slurry Blending and distribution
The P6000 slurry blending and distribution system can combine up to four liquid slurry concentrates with ultrapure water to create dilute slurry solutions. The solutions are transferred to an intermediate day tank where they are continuously stirred to ensure chemical mixing and particle suspension; they are then dispensed under pressure to process points of use. Units feature mix and dispense redundancy, N2 humidification, and an optional on-line metrology package. The PLC-controlled units inte
Product News Toxic gas sensor/transmitters
The SDS-Series 4-20 mA transmitters, with digital display, use maintenance-free, disposable electrochemical cells for AsH3, PH3, SiH4, B2H6, Cl2, H2S, HCN, HCl, SO2, COCl2, HF, Br2, NO2, NH3, WF6, and many other toxic gases. EX-5000 series transmitters, with catalytic-type sensors, can be integrated to form complete toxic- and combustible-gas monitoring systems. ENMET Analytical Instruments, Ann Arbor, MI; ph 313/761-1270, fax 313/761-3220.
Product News Inert gas purifier
The GateKeeper 100K inert gas purifier protects gas panel components from molecular and particulate contamination. Water and oxygen can cause corrosion in a gas system and can disrupt processes such as CVD, epitaxy, and sputtering. Model 100K can handle flow rates up to 20 slm at lower pressures and higher flow rates at higher pressures, and removes contaminants such as H2O, O, CO, CO2, and H2 from inert gases, and H2O, O, CO, and CO2 from H2 to <1 ppb. Aeronex Inc., San Diego, CA; ph 800/511-97
Product News UHP Pressure Transducer
Model UPF flow-through pressure transducer has an internal finish of <7 Ra with zero dead space and no weld seams. Sensor electronics are hermetically sealed at full vacuum. The field-replacable amplifier provides 0-5 VDC or 4-20 mA output. Model UPF provides stable ?0.18% FS accuracy and full scale ranges from 0-100 to 0-3000 psi. Sensotec Inc., Columbus, OH; ph 800/848-6564 or 614/486-7723, fax 614/486-0506.
Product News Helium Leak Detector
The UL200 dry is a very small, light (75 lbs) helium leak detector that offers the performance of a full-size unit, thanks to its 1 ?10E-10 atm-cc/sec leak detection limit and full complement of features. Flexible and easy to service, it has accessories such as a partial flow system and sniffer lines to adapt its operation for all types of applications. Leybold Inficon, East Syracuse, NY; ph 315/434-1100, fax 315/437-3803, e-mail [email protected].
Product News Pressure Transducer
Type 631 Absolute Heated Baratron pressure transducer prevents contamination buildup and particle generation in processes such as LPCVD nitride. It combines 150?C operation and on-board electronics, and offers ?0.25% of reading accuracy (including nonlinearity, hysteresis, and nonrepeatability). The elimination of both sensor-to-electronics cables and remote mounting of the electronics package improves measurement repeatability. MKS Instruments, Andover, MA; ph 508/975-2350 ext. 5541, fax 508/9
Product News Mass Flow Controller
Model 6256 PureDigital SELECT is a mass flow controller whose features include: a microprocessor resident in the controller; LonWorks compatibility; <1 sec response time; ?1% of rate, including linearity; up to 10 selectable calibrations in digital mode (four in analog); observation and recording of MFC performance without interference with the control system signals; an accuracy check of setpoint and flow signals; and consistent step response. Brooks Instrument, Hatfield, PA; ph 215/362-3722, f
Product News Capacity Modeling software
SEMITY 2.4 is a software package designed to support fabs` capacity modeling needs. Features of 2.4 are: an access-based relational database; division into three modules - machine rate, availability, and capacity; duplication features to quicken data entry of similar processes and toolsets; modeling capability for multiple fabs; and built-in layers corresponding to physical and logical entities within the fab (cluster, area, module, and fab). Tefen Ltd., Foster City, CA; ph 415/577-8094, fax 415
Product News Real-time Production status board
Encore! Performance is Windows NT-based, delivering critical information to the desktops of semiconductor manufacturing managers. It allows easy access to, and visualization of, production information from multiple data sources. Managers can obtain "scorecards," reporting displays of data in a variety of graphical and highlighted formats. Preconfigured production and quality analyzers, capable of database computations and comparisons, enable users to obtain immediate information to improve produ
Product News OPC software
Depict 4.2 introduces two enhancements for improved photomask printability: automatic printability analysis and the Optical Proximity Correction Advanced Application Module. Both capabilities automatically correct mask failures through resolution enhancement for printing deep sub-?m features. The enhanced printability analysis, combined with Depict`s large aerial image capability, automatically identifies the mask layout areas that will fail to print features correctly. Based on user-specified e
Product News Metrology software
SuperQ is a software package for the PW2800 XRF wafer analyzer. It permits rapid, automatic verification of film thickness, composition, stoichiometry, surface contamination, dopant levels, and uniformity. The GUI interface facilitates surface mapping for all standard wafer configurations from 2 to 12 in. Philips Analytical X-Ray, Almelo, The Netherlands; ph 201/529-6246, fax 201/529-5084.
Product News Pick and place handling system
The MAS 4200 series are compact systems (50 ? 34 ? 18 in.) designed for benchtop mounting, placement over an ATE test/programming head, or mounting on an optional floor stand. Specific models are available for handling PCMCIA cards, compact flash modules, burn-in boards, and semiconductor memory devices. The handlers have interchangeable modules that allow for reduction in support time and spare part requirements. These self-contained units have a push-button control panel with indicators for sy
Product News trim and form system
The M-press trim and form system features 200 strokes/min for Dambar operations; 150 strokes/min for trim and form; constant forming velocity at every SPM; flexible ram stroke; flexible horizontal and vertical transport; standard vision optical inspection unit for leadframe ID; press footprint of 680 ? 800 mm; and SECS I and II communication. PS Systems bv, Duiven, The Netherlands; ph 31/26-3190319, fax 31/26-3112541.
Product News Flip chip underfill dispenser
The Universal Dispensing Platform (UDP) incorpoates three (X, Y, Z) or four (q) closed-loop, brushless servo direct drive axes with ball screw technology for high accuracy and repeatabilty. For underfill epoxy dispensing, this platform uses multiple spool or needle valve dispensing heads. The system uses an automatic Cognex vision system with proprietary illumination to locate each die and position the dispense needle at the correct location. Heating of the substrate is achieved using long-life
Product News Fast pulse preamplifier-processor
The MTS-100 preamplifier processes minute pulses from photomultiplier tubes, electron multipliers, SIMS, and ISS. The unit features a high-voltage decoupling network for detection of positive or negative ions; it is used with mass spectrometers to amplify and process photon, electron, and ion signals for a counter, rate meter, strip chart recorder, or computer. Sensitivity is 50 ?V (TTL output), with a maximum pulse repetition rate of 50 MHz. Advanced Research Instruments Corp., Boulder, CO; ph
Product News PID temperature controllers
The CLS200 is a stand-alone 1/8 DIN size multichannel PID temperature controller available in 4-, 8-, and 16-channel versions. Features include ratio control, cascade control, analog retransmit, remote setpoint, and differential control. Each unit has a 2 ? 16 backlit LCD alphanumeric display for data setup and monitoring. It can operate as a stand-alone system or use a built-in serial interface for computer supervision and data collection. The CLS200 can accept thermocouples, RTDs, and linear c
Product News Diaphragm manometer
The Wide Range Diaphragm Manometer is available with four adjustable set points in addition to the linear analog output. Each set point is adjustable over the range 10-3 to 1500 torr and each drives its own SPDT relay. These instruments use a fast-response diaphragm sensor to provide high-accuracy measurements that are unaffected by gas composition from 2 to 1500 torr. At pressures from 1 to 2000 mtorr, the LED display is driven by a rugged Pirani sensor. Both sensors are mounted in a single tra
Product News Cobalt sputtering targets
Alkaline metal impurities, U, and Th (alpha emitters) are strictly controlled in these ultrahigh-purity (4N5) cobalt sputtering targets. Very low magnetic permeability reduces target interference with the sputter magnet. The thickness of the targets (3 mm) yields longer life than is normal with conventional targets. NIMTEC/Japan Energy Corp., Chandler, AZ; ph 602/732-9857, URL http://www.j-energy.co.jp/product/target
Product News Extended range of silicon wafers
These Cz-silicon wafers include prime polished, double-side polished, and epitaxial wafers with orientations of 1-0-0 and 1-1-1. Also, an optional polyback and/or LTO seal can be provided. Substrate dopants include boron, phosphorous, antimony, and arsenic (resistivity 0.001 to 100 ?-cm). The epi layers are produced with single-wafer reactors with As, P, and B as dopants. Okmetic Ltd., Espoo, Finland; ph 358/9502-800, fax 358/9502-80300.
Product News Global Positioning robot
This global positioning robot (GPR) system enables the transport robot to interact compliantly with misaligned cassettes and process modules, as well as deliver deflection compensation, even during transport. The system is a six degrees of freedom, servo-controlled robot that dynamically eliminates the alignment variance between the transported material and the workstations. The yaw-axis feature of the GPR enables the robot to replace track systems in the in-line equipment layout, reducing the c
People Transport Module for Cluster tool automation platforms
The ClusterLink TMC (transport module control) solution is now fully integrated with the Marathon and Hercules cluster tool automation platforms. The software module includes a complete set of maintenance and configuration screens, as well as a library of standard drivers for platform components and optional drivers for smart sensors, RS-232 devices, and factory automation interfaces. Designed with a documented, open interface for easy integration with cluster tool controllers, the TMC features
People People Update
Integrated Packaging Assembly Corp., San Jose, CA, has named Patrick Verderico executive VP and COO. He has more than 20 years of experience in the semiconductor industry with such companies as Maxtor Corp., Cypress Semiconductor, and Philips Semiconductor.
Editorial Billions and Billions of transistors
In the Gordon Moore interview, conducted by West Coast Editor Ed Korczynski in this issue (see "Moore`s Law extended: The return of cleverness," Industry Insights, page 364), Moore speculated on "...what do you do with a billion transistors of circuitry?" This is an interesting question, with many ramifications, and a challenge for application designers in all market segments.
Literature Literature
This six-page, color brochure describes the features and benefits, operation, and specs of a line of partial pressure transducer (PPT) residual gas analyzers (RGAs). The PPT is designed for a variety of applications, including leak detection, high-energy physics, vacuum coating, vacuum heat treating, residual gas analysis, off-gas studies, tube-and-bulb manufacturing, and surface science studies. PPT RGAs combine large control electronics modules into a single, compact electronics control unit
News Semiconductor Council Promote cooperation on trade
Last August, the Semiconductor Council was created as part of the industry and government trade agreements that were approved by US and Japanese negotiators. This spring, joined by Europe and Korea, the Council held its first meeting and agreed to launch new cooperative programs to boost foreign trade. The Council released a joint statement, dated April 11, 1997, describing its priorities and project:
News Companies Recoganized for superior Performance
Applied Science and Technology Inc., Woburn, MA, which develops plasma reactors for growing diamond film, won a 1997 Massachusetts Small Business Innovation Research Award, which fosters the development and commercialization of innovative new technology. Asymtek, a subsidiary of Nordson Corp. in Carlsbad, CA, received the 1997 Kiver Award for development of equipment and materials that advance electronics packaging design and production. SEMI`s OnLine service was recognized by the Smithsonian In
New Products Spatial pattern recognition software
Spatial Pattern Recognition (SPaR) software analyzes and identifies defect distribution characterisitics on wafers. With SPaR, engineers can import wafer map data generated by standard inspection tools, re-image them, and automatically sort defect patterns into unique, classified "signatures." These signatures can then be correlated to process events such as machine scratches or resist streaks, using a library of identified signatures. Users can thus relate specific defect shapes or patterns to
New Products Vision systems for automated fluid dispense
These two vision systems have been designed for automated fluid dispensing machines. The AV-2550 automatic fiducial locator allows hands-free alignment and automatically locates fiducials in the search window. Working with Fluidmove software, it allows users to select fiducial points with specific light levels for each one. The 2550 has an angular correction of ?7? and is capable of 16 levels of skew correction for stacked assemblies. Recognition area is 14.7 ? 12.2 mm with spiral search. The AV
New Products Bulk gas delivery and storage
Bulk trichlorosilane, halocarbons, and nitrous oxides are now available as part of a bulk process gas line that includes silane and hydrogen chloride. The bulk process gas supply portfolio includes custom-engineered, on-site storage, and a control and distribution system based on the SpectraSafe design. BOC Gases, Murray Hill, NJ; ph 908/771-1491, fax 908/771-1460.
New Products Microscope enhancement
The MX50-CF adds confocal capability to the frontal controls, ergonomic design, and UIS optics of the MX50 inspection microscope. The CF integrates the MX50 with a Nipkow disk module, to provide real-time confocal microscopy. The confocal system delivers images with greater resolution along the z-axis, allowing observation of sharp images for each multilayer, as well as the contact hole interior. Confocal operation can be carried out easily by use of high-intensity mercury and xenon light source
New Products vertical Firnace
The RVP Series 9000 is an advanced vertical furnace that accommodates rapid temperature ramping, minibatch economics, and flexibility for processes such as gate oxides, drive-in anneals, and thin LPCVD films. Series 9000 couples batch economics with process and throughput performance rivaling RTP tools for thin films - oxides, polysilicon, and silicon nitrides. The small-batch, fast-ramping system reduces overall process time, cost of ownership, and thermal budget. Silicon Valley Group Inc., San
New Products IPA Blending station
The wall-hung S-1114 IPA blending station automatically fills squeeze bottles with UPW, IPA, or adjustable blend ratios to within ?1% accuracy. It has a remote PLC control center to monitor the amount of IPA used in the fab. A safety override code is used for straight IPA filling. No flammable alcohol is stored on-board; the unit connects directly to the BCD system. Safety features include a deadman switch for filling, high/low audible and visual exhaust alarm, fire detection and special redund
New Products reduced-Size Chillers
K Series chillers provide reliable precision temperature control for high-energy processes. Typical applications include etch, deposition, and other plasma processes used in IC manufacture. Analytical applications in the QC lab include electron microscopes and ICP-MS. The size of the K Series chillers and heat exchangers is reduced by up to 60% compared with traditional designs: the K3 chiller (capacity 2.9 Kw) is 540 ? 375 ? 510 mm (L, W, H). Applied Thermal Control, Whitwick, UK; ph 44/1530-83
New Products Combination filters
Purasource is a process that bonds specific particulate filter substrates with optimized chemical filtration media. The resulting combination filters provide particulate efficiencies up to 95%, along with high chemical filtration efficiencies. A Purasource filter employing activated carbon-type and potassium permanganate-impregnated activated alumina-type media exhibits single-pass efficiencies =85% for SO2, NO2, and O3, and =80% for HCHO, at air flow rates of up to eight changes/hour. Purafil I
New Products gas Detectors
Lifeline electrochemical, cell-based gas monitors feature quick installation, minimal maintenance, and smart sensors that provide early warning of a sensor`s condition and effective life cycle. Four versions of Lifeline cover most semiconductor applications. A passive, diffusion-type system and a remote system can locate sensors up to 50 feet from the transmitter and feature an intrinsically safe design. Extractive and pyrolyzing models regulate samples and draw air from streams up to 50 feet aw
New Products Automatic Agitation system
Model 966 automatic agitation system provides compact and efficient wet chemical process uniformity, accommodating wafers of up to 6 in. Automatic control of the entire process is ensured through use of a separate remote-control box that can be located away from the process area. Constructed of polypropylene and PVC, the 966 has dimensions of 8 ? 5 1/8 ? 12 5/8 in. Victor Associates Inc., Warminster, PA; ph 215/443-5666, fax 215/443-5664.
|
FEATURES
Feature Products A close look at laser marking of silicon wafers
Jim Scaroni, Lumonics Corp., Oxnard Operations, Oxnard, California Terry McKee, Lumonics Inc., Kanata, Ontario, Canada
Feature Products Metal Etch system
Based on Transformer Coupled Plasma etch technology, the TCP 9600PTX metal etch system includes a microwave stripper that provides damage-free post-metal etch photoresist strip and passivation, while maintaining high strip rates. The system meets all requirements for aluminum and tungsten interconnect etch processing in 0.25-?m designs and below. It is integrated on the Alliance multichamber cluster platform, for high throughput with a small footprint. The chamber is designed for improved unifor
Feature Products Automatic Flip Chip bonder
Model FCB-1800 automatic flip chip alignment and bond system is capable of both low- and high-force bonding pressures for solder and Z-conductive adhesive interconnection. The machine platform is constructed from granite for stability and vi-bration dampening. The positioning means are linear servo drives with positioning capabilities to ?1 ?m, depending on the optical image limits of the parts to be assembled. The tool is equipped with full pattern recognition capability and can bond at rates o
Feature Products Front-Opening Unified Pod
The F300 front-opening pod, for 300-mm wafers, delivers better than Class 1 protection of 25-wafer production lots without need of a separate cassette. The wafer supports and the robotic handle are composed of high-performance STAT-PRO 3000 carbon fiber-filled polyetheretherkeretone material that ensures cleanliness and structural stability. The housing and door material is a strong polycarbonate blend with UV additive to filter UV light beneath 500 nm. ESD control protects wafers from contamina
Feature Products Low-Energy ion Implantation
The Ultra Low Energy 2 (ULE2) implanter is designed for the formation of ultra-shallow junctions in the 0.18- and 0.25-?m device nodes. It incorporates RF source technology, a fixed pentode extraction electrode, a 135? magnet with embedded quadrupole lenses, plasma cell charge control technology, and automated dose control. Source lifetime is >200 hours and automatically tunes from "off" in <2 min. The ULE2 beamline delivers 12 mA of beam current at 5 keV and 18 mA at 10 keV. Eaton Corp., Beverl
Feature Products RTP Nitric oxide process
Incorporated on the RTP Centura system, this rapid thermal processing (RTP) nitric oxide technology provides a single-wafer capability for volume production of the critical (=50?) gate dielectric layers needed for the most advanced logic, DRAM, and flash memory devices. Compared with batch-type furnaces, this single-wafer technology incorporates nitrogen into the gate oxide with a reduced thermal budget, giving the highly accurate and repeatable results needed for deep submicron transistor forma
Feature Products KrF Excimer Laser Stepper
Equipped with the Cymer 1 KHz KrF laser, the FPA-3000EX4 stepper can exceed 73 wafers/hour throughput, exposing 0.25-?m images with 35-nm stage stepping accuracy. Features include a fast linear motor air-guided tilting stage for higher throughput and alignment accuracy, the CQUEST II off-axis illumination mode for better depth of focus, and an optimized tilt focusing system for improved edge-shot chip yields and focus reliability. Users can select from the full range 0.40 to 0.60 variable numeri
Feature Products Automated Inspection system
Model 890 automatically inspects wafers and die, and performs package substrate bump inspection. Featuring a very high-resolution 3-D scanning laser, the 890 acquires, analyzes, and displays critical 3-D height information and 2-D grayscale data at a rate of millions of pixels/sec. Measurements performed include ball height, missing ball, ball position, ball volume, coplanarity, regression, and ball diameter. All key components in the high-density, matrix-based package assembly process can be in
Feature Products Film Metrology with minienvironment
The NanoSpec 8000XSE-MV is a thin-film analyzer that nondestructively and automatically measures single and multiple thin-film layers on wafers up to 200 mm, using combined spectrophotometry and spectroscopic ellipsometry. In addition to film thickness, the optical constants of film materials can be determined. The tool features a SMIF pod and wafer-transport system, as well as a minienvironment enclosure. This configuration maintains wafers in an ultraclean environment while relaxing fab cleanl
Feature Products Thin Film Metrology
The Opti-Probe 5000 system features seamless integration of several measurement technologies: spectroscopic ellipsometry; absolute ellipsometry (for accurate and repeatable measurement of the thinnest layers); spectroscopy; beam profile reflectometry; and beam profile ellipsometry (offering very high throughput and a 0.9-?m spot size). The integration of these technologies, plus powerful DUV t, n, and k modeling down to 190 nm, transparent migration of recipe setups from previous Opti-Probe mode
Feature Products RT-CVD
This rapid thermal chemical vapor deposition (RT-CVD) system, IntegraPro, is designed for the manufacture of 64-Mbit DRAMs and advanced microprocessors, and for 256-Mbit device development. Processing capabilities include in-situ cleaning, thermal and CVD dielectrics, CVD polysilicon, and selective HSG. IntegraPro integrates Brooks Automation`s wafer handler with MESC-compatible wafer-surface preparation and RT-CVD modules. The system offers good cost of ownership with minimal queue time, under
Feature Products Microcleaving system
The MC 200 microcleaving system is dedicated to ultraprecise cross-section preparation of the highest quality for the purpose of failure analysis and process monitoring. The PC-based system features a single die cross-section preparation kit and is easily operated by fab personnel. The microcleaving process generates two mirror-image sections through the defect or feature in <10 minutes. This accessibility, together with high throughput, reduces the diagnosis cycle for fault analysis. SELA, Sant
Feature Products CMP Cleaning tool
Synergy Integra is an integratable version of the Synergy cleaning system, which performs mechanical brush scrubbing and in-situ chemical etching simultaneously in a single system. Integra`s open architecture platform is designed for integration with CMP polishing systems from various suppliers, providing a completely automated polishing and cleaning solution. Its one-step process gives high throughput andlow cost of ownership for a number of critical CMP cleaning applications. OnTrak Systems
Feature Products 300-mm wafer Processing
The Millennia platform is a multichamber, multiprocess tool for 300-mm wafer processing that uses downstream microwave technology for such applications as high-dose implant, photoresist removal, descum, post-etch clean, isotropic etch, and backside etch. Millennia is designed for fabrication of devices with 0.18-?m geometries; it integrates four modular process stations onto one platform, maximizing overall equipment effectiveness and minimizing footprint. GaSonics International, San Jose, CA; p
Feature Products advanced assembly automation
The advanced assembly automation (AAA) concept automates and integrates the entire assembly and packaging process. From wafer preparation to test, the Autoline system is no longer limited to a single track robot, automating die bond, cure, wire bond, and mold. Several Autolines, stand-alone equipment, and in-line systems can now be linked by an overhead monorail system. The AAA control system manages all production-relevant tasks, optimizes the work flow, allows lot tracking, reduces WIP, and pr
Feature Products FSG Film Capability for CVD system
A fluorinated silicate glass (FSG) film capability has been added to the Aspen CVD system. FSG is a lower dielectric constant (low k) IMD layer. The Aspen CVD system also allows for the deposition of films with nearly perfect SiO2 stoichiometry, a key factor in the production of purer, denser films. FSG has greater surface mobility, providing very good step coverage; this is critical to planarization techniques used in sub-0.5-?m device technologies. FSG implementation operates at low pressures,
Feature Products UV Inspection microscope
The Axiotron 2 UV microscope extends the limits of resolution by expanding the spectral range into the deep UV. Already a state-of-the-art high-resolution optical microscope, its imaging spectral range has been increased down to 248 nm, doubling the instrument`s resolution capabilities. This ergonomic, fully automated inspection tool allows high-magnification, high-quality imaging optimized at 365 nm (UV) and 248 nm (DUV), shedding new light on semiconductor inspection, review, and failure analy
Cmp CMP dishing effects in shallow trench isolation
CMP is gaining popularity as a process for achieving global planarity across silicon wafers. One front-end CMP application is planarization of the wafer surface after isolation trenches are etched and subsequently filled with oxide. As device sizes rapidly shrink, STI is preferable to local oxidation of silicon (LOCOS) isolation for several reasons: much tighter control over device and isolation dimensions, and elimination of LOCOS "bird`s beak" problems.
Cmp Wafer dimensional analysis for chemical mechanical planarization
In the past, several conventional metrology techniques have been employed for film thickness, roughness, and particle inspection in CMP equipment and process characterization. Recent joint efforts between device manufacturers and CMP equipment and metrology tool suppliers have shown that wafer dimensional analysis (WDA) via capacitive gauge is highly relevant for CMP process development and control.
Cmp Oxide CMP mechanisms
Chemical mechanical polishing (CMP) is an increasingly important planarization process for microelectronic devices with multilevel integration [1]. CMP of oxides is reliable and efficient when executed properly, but like optical-glass polishing, much of the CMP process is an art rather than a technology.
Industry Insights Moores Law extended: The return of cleverness
Ed: How long will the industry continue along the curve of Moore`s Law? When will the slope change again?
Industry Insights Laser, dry and plasmaless, photoresist removal
Boris Livshits, Ofer Tehar-Zahav, Eli Iskevitch, Menachem Genut, Oramir Semiconductor Equipment Ltd., Haifa, Israel
Deposition Advances in MCM ceramics
D.I. Amey, S.J. Horowitz, C.R.S. Needes, DuPont Photopolymer & Electronic Materials, Research Triangle Park, North Carolina
Deposition Challenges in 300-mm wafer assembly
While the economics of front-end wafer fabrication continue to drive the development of 300-mm wafers, unexplored back-end assembly issues raise many questions and may pose roadblocks. It is time for users and designers of assembly machines to consider how machines will have to be modified to process these extremely large disks of silicon.
Deposition MOCVD BaSrTiO3 for >1-Gbit DRAMs
Steven M. Bilodeau, Ralph Carl, Peter Van Buskirk, Jack Ward, Advanced Technology Materials Inc., Danbury, Connecticut
Deposition Low-temperature polysilicon reshapes FPD production
Julian G. Blake, Michael C. King, James D. Stevens III, Eaton Flat Panel Equipment, Beverly, Massachusetts, Ross Young, DisplaySearch, Austin, Texas
Deposition Trends in contamination control in IC production tools
E.H.A. Granneman, ASM International, Bilthoven, The Netherlands, and DIMES, Technical University Delft, Delft, The Netherlands
Showreport MRS covers semiconductor topics
The Materials Research Society Spring Meeting, held in San Francisco, CA, covered a wide range of materials and processing topics. This report highlights those papers most relevant to semiconductor fabrication.
Links Cleaning the slate: 300-mm transition can improve factory effectiveness
The transition to 300-mm wafer processing has forced the semiconductor industry to re-engineer its process tools, rethink plans for current capacity expansion, and push the limits on existing technology. It also presents an opportunity to begin with a clean slate in the manufacturing process. Assumptions made and validated for 150- and 200-mm wafers may not hold true for 300 mm.
|