Issue



Table of Contents

Solid State Technology

Year 1997
Issue 1

DEPARTMENTS

Product News


All-plastic pump

The DP-20F nonmetallic pump is made entirely from plastic, eliminating the potential for metallic contamination in the event of a diaphragm leak or failure. The pump can handle liquid temperatures up to 176?F (80?C) and has a flow rate of up to 10 gal/min. Assembled in a Class 1000 cleanroom, the DP-20F has 0.75-in. intake and discharge valves, with a 0.25-in. air inlet. Yamada America Inc., Elgin, IL; ph 800/990-7867 or 847/697-1878, fax 847/697-2794.


Product News


Die attach system

The SL9002-Lite die attach system, for SO, PDIP, PLCC, and other leadframe applications, features volumetric dispense technology for accurate bondline thickness and fillet; an x, y, z-motorized dispense unit for automatic changeover; a synchronized die eject system that causes no die stress or damage; a closed-loop servo system for accuracy and repeatability; an 8-in. wafer loader with no expansion, which makes possible multiple wafer reload; and a DC-motor-controlled x, y, z bond head for progr


Product News


300-mm wafer analysis

This FTIR accessory is designed for analysis of 300-mm wafers. MAP300 is fully automated and suitable for determination of epitaxial layer thickness in the reflectance mode, and boron, phosphorous, silicon, germanium (BPSG), carbon, and oxygen measurements in the transmission mode. It mounts in the sample compartment of the FTIR spectrometer, and with optional adapters it accommodates all other wafer sizes. Individual wafers are rotated and/or translated by stepper motors in a sequence pre-progr


Product News


Mask Alignment microscope

Three models of mask alignment microscopes offer objective separations of 24 to 110 mm, 5 to 30 mm, and 5 to 80 mm. The microscopes are available in various modular configurations, such as vertical or transmitted light illuminations, coarse or coarse and fine focus controls, and a selection of binocular, trinocular, and video heads. The instruments are offered in component parts for mounting on OEMs` machines. Unitron Inc., Bohemia, NY; ph 516/589-6666, fax 516/589-6975.


Product News


In-line inspection system

The Surfscan 7700 system`s clustering ability helps to speed response to detected contaminants and process excursions by eliminating the need to perform clustering analysis on a separate data analysis station. An optical character recognition/bar code recognition package tracks wafers through the process flow. Enhanced software allows users to review defects immediately following detection; microscope enhancements include automatic wafer alignment and registration, full post-inspection review of


Product News


Noncontact measurement

The TMS-2000W and TMS-3000W scatterometry-based surface measurement systems provide noncontact measurement of wafer microroughness at a rate of up to 50 test points/sec with 0.01-? resolution. Both systems provide high-speed, precise determination of microroughness over pre-selected areas or over the entire wafer surface. Applications include backside roughness, post-etch roughness, post-CMP processing, and wafer slip evaluation following RTP or epi growth. The systems can scan up to 6000 test p


Product News


Mass flow controller

The Type 1640 pressure-based mass flow controller (MFC) combines the principles of sonic flow and pressure measurement to provide accurate and stable flow control over a wide range of inlet and outlet pressures. It has the same footprint and operates with the same ?15 Vdc power source and 0.5 Vdc signal input/output as a thermal MFC, allowing straightforward/drop-in replacement. The 1640 is suited for use in safe delivery systems (SDS) applications in the ion implantation process, in which sourc


Product News


Point-of-use scrubber

The XGC point-of-use scrubber is a high-efficiency, low-energy system designed for the abatement of semiconductor effluent gases and particulates. The scrubber contains three abatement stages for thorough scrubbing of highly toxic and pyrophoric gases from process effluent gas streams. The three stages are a spray chamber, an Eductor Venturi stage, and WATERWEB Mesh, which consists of thousands of microventuri passages for maximum scrubbing efficiency. The XGC features a blowerless design for t


Product News


Intermetal dielectric processing

A new coating technology features a closed-bowl technique that gives good processing results for low-k dielectric applications. Advantages of the system, named Falcon, include a low solvent evaporation rate, allowing improved material distribution, and a controlled ambient airflow that minimizes turbulence, generates no particulates during coating, and provides reduced sensitivity to temperature and humidity variations. The building blocks of Falcon include a modular system architecture with cen


Product News


Heat exchangers

These all-stainless steel, fin-and-tube heat exchangers are designed for liquid-to-air applications with tough coolant requirements, including high-purity DI water or corrosive fluids and aggressive gases. Tubing and all manifold circuitry are constructed from 300 Series stainless steel, which is mechanically bonded to a highly corrosion-resistant stainless steel fin. All joints are precision TiG welded for maximum strength and durability. Thermatron Engineering Inc., Beverly, MA; ph 508/922-086


Product News


Cooling units for DI water

These compact and quiet recirculators, for 1-24 kW of cooling, feature deionized water compatibility. The systems achieve up to ?0.1?C stability, provide digital PID control, and offer centrifugal or positive displacement pumps. They are designed for continuous duty in both production and research environments for laser, semiconductor, and general-purpose cooling applications. FTS Systems Inc., Stone Ridge, NY; ph 800/824-0400 ext. 159, fax 914/687-7481


Product News


On-board waterpumps

Etch-compatible On-Board Waterpumps are designed to decrease particulate formation during semiconductor metal etch processing. The elimination of water slows particulate formation from the etch residue and reduces flaking from exposed aluminum surfaces. By mounting the pumps in etch loadlock and transfer chambers, water is removed at the source. Increased water vapor pumping speed reduces wet clean recovery time by 40%, gaining process uptime. Pump networking via on-board central control supplem


Product News


AMC control

VaporSorb 1000 is a filtration system for use with ASML`s PAS 5500/300 DUV wafer stepper. Its Interstack early-warning monitoring technology eliminates process risk associated with airborne molecular contamination (AMC), and this, combined with immense Bronsted base capacity, assures that chemical air filter systems can handle even the most serious excursions in fab contamination levels. The Interstack permits monitoring of any changes in the filter`s efficiency immediately upstream of the third


Product News


Automated spray cleaner

Model SC-9000 is an automated spray cleaner for wafer cassette and box cleaning. It holds up to 10 complete sets of 8-in. wafer shippers or thirty 8-in. WIP cassettes. A single-chamber, multiprocess design is incorporated to maximize results by controlling the cleaning environment. A multipurpose sweeping arm with high-pressure spray nozzles (80 psig) sweeps across the parts for wash and rinse cycles. Separate blow-off nozzles coupled to hot gas complete the dry cycles. Other features include su


Product News


Low-pressure spin processor

This spin processor, for partial vacuum applications, is available in natural polypropylene or PTFE Teflon with either an 8.5- or 12.5-in. I.D. process chamber. Applications include vacuum drying, CO2 cleaning, fusion bonding, in-situ coat and removal of dissolved gases from deposited films, and dispensing of chemicals without a pressure vessel or pump. Laurell Technologies Corp., North Wales, PA; ph 215/699-7278, fax 215/699-4311, e-mail [email protected].


Product News


Vacuum gate valves

Series 01 Mini-UHV vacuum gate valves have stainless steel bodies and Monovat sealing with only one moving part in vacuum. The thin-profile Series 12 aluminum version features the Vatlock spreading ball sealing technique that evenly distributes closing forces to create a highly reliable shock-free seal without cams. Available in sizes ranging from 16 to 1250 mm ID, these valves are offered with manual, pneumatic, or electric actuators and operate up to one million cycles between maintenance. The


Product News


Cold cathode gauges

The IKR 270 compact cold cathode gauge measures vacuum from 10-2 to 10-11 mbar. The electronics and gauge sensors are integrated into one compact head. The gauges are metal-sealed with a DN 40 CF flange. The standard version can be baked to 250?C with the electronics removed, and an extended body version is available that allows the gauge to be baked to that temperature without removal of the electronics. The gauges have no filaments to burn out, they can withstand air inrush, and they are corro


Product News


Adhesive for dicing/sawing

The IKR 270 compact cold cathode gauge measures vacuum from 10-2 to 10-11 mbar. The electronics and gauge sensors are integrated into one compact head. The gauges are metal-sealed with a DN 40 CF flange. The standard version can be baked to 250?C with the electronics removed, and an extended body version is available that allows the gauge to be baked to that temperature without removal of the electronics. The gauges have no filaments to burn out, they can withstand air inrush, and they are corro


Product News


Cassette Tester

Model CT-2001 cassette tester analyzes wafer cassettes for twisting and bowing due to heat or mechanical stress. It is compatible with most common cassette materials such as Teflon, Peek, and polypropylene. The three sensors on the cassette check for left or right twisting and H-bar deformity, either positive or negative from the horizontal. Twisting and H-bar concave or convex deformity tolerances can be adjusted to suit a machine`s error tolerance. Anti-backlash springs eliminate errors in sen


Product News


Fab management software

SEMITY Version 2.3, an upgrade of SEMITY fab management software, is 80% faster in performing calculations than its predecessor and has powerful new cycle time modeling capabilities. It supports multiple users and production scenarios, contains on-line help, and is designed to work in a Windows environment. It consists of three modules: machine rate, availability analysis, and capacity modeling. The new cycle time feature is an enhancement of the capacity modeling module. It uses a proven queuin


Product News


Yield loss analysis software

ChargeMap software, for on-site analysis of CHARM-2 data, uncovers charging problems on several types of IC process equipment, as evidenced by spatial correlation of CHARM-2 charging maps with areas of heavy yield loss on product wafers. CHARM-2 monitor wafers give fab engineers the ability to measure the charging characteristics of plasmas or ion beams before product wafers are exposed. Comparison of equipment charging "fingerprints" obtained during periods of high yield with those obtained dur


Product News


Sputtering targets

This fine micro-structure titanium-tungsten target has very low oxygen content, resulting in minimal device-defect generation and a high deposition rate. It is processed in a vacuum similar to the environment in the sputtering chamber, eliminating trapped gases such as oxygen and nitrogen. A copper target is also available that achieves low resistivity and good electromigration resistance and is compatible with a variety of leading-edge sputtering tools. Johnson Matthey Electronics, Spokane, WA


Editorial


Back to the garage: Entrepeneurship lives on

In the early days of the semiconductor industry, there were no equipment vendors. Device makers pieced together process tools from component parts. Before integrated circuits, companies sold transistors and diodes directly to electronics OEMs, with very little concern for the eventual application. These components were simple and the designs were commonly known, so competitive advantage came from processing. Companies offering more consistent, reliable parts were more likely to succeed.


Letters


Don?t forget equipment software

I have been regularly reading, using, and advertising in Solid State Technology for many years, finding it to be the basic and most comprehensive semiconductor information source. Nevertheless, for years I was wondering why one very important group of (potential) readers is never approached as a group, but only as random individuals. The majority of SST material and information is equipment related, and that is also the vast source for SST`s income. But the people that in their daily work are cl


Letters


Scholarships for semiconductor students

I recently ran across the March 1996 editorial ("Cultivating human resources for today and tomorrow," p. 14). I am the program director and instructor for the semiconductor manufacturing program at Albuquerque TVI, a community college. Intel Corp. is a very strong industry partner in this program, which offers several scholarships per year. The article asked for information on companies that offer such scholarships. Pat Foy at Intel (ph 505/893-6552) is the person responsible for making these sc


Letters


Clarification

In "Design challenges in vacuum robotics" (Oct. 1996, p. 63), Fig. 2`s caption should have identified the system as an Applied Materials` Metal Etch DPS Centura.


Letters


Training is available

I have seen several articles and letters to the editor over the past year (in various trade journals) that leave the reader with the impression that there is (1) a great need for training/retraining/upgrading skills of current workers, and (2) no one providing such training. I can say from first-hand experience that this is not entirely true. Our organization and several others have been providing in-house training, in a variety of generic (semiconductor processing overview, math/physics/chemist


Letters


The root of company success

I liked your analogies for the current industry climate that you expressed in the November SST issue ("For everything there is a season," p. 14). Well, some of them anyway. I`m going to continue the trend of asking you the questions, with the following.


Letters


SST covers future topics

I liked the article on vacuum robotics ("Design challenges in vacuum robotics," October 1996, p. 62) since this subject is the future of the industry.


Letters


Product Guide serves its readers

The Product Guide Plus issue (distributed at the SEMICON/West 96 show) featured an excellent compilation and showcase of useful products.


Letters


RTP article interesting

The article "Fast thermal processing: Batch comes back," (June 1996, p. 133) was a very interesting and useful analysis of fast thermal processing.


People


People Update

SEMATECH, Austin, TX, has named Mark Melliar-Smith president and COO. He has served as chief technical officer of Lucent Technologies` Microelectronics Group since 1990, and is also executive director of the Integrated Circuits Division of Bell Labs. He will succeed William Spencer as CEO in 1998. Current COO Jim Owens will stay on into the first quarter of 1997 before returning to National Semiconductor.


Literature


Literature Update

This four-page, full-color catalog describes a repair system for applications ranging from heat sealed connectors (HSC) and LCD bonding to hot bar reflow. The BondMaster system aligns the radio, pager, or any other type of display array and the interfacing board via a universal fixture that enables the two parts to be positioned under a temperature-controlled bonding board. A PID controller automatically provides temperature, pressure, and time to bond. The BondMaster is available in both 110-V


Eurofocus


Worlds first 1-Gbit DRAM at Electronics 96

At the world`s biggest electronic components show, the 17th International Trade Fair for Components and Assemblies in Electronics (Electronica 96), in Munich, Germany, Samsung Semiconductor, a division of Samsung Electronics Co. of Seoul, South Korea, officially announced the successful development of a fully working die of a 1-Gbit DRAM. According to a company spokesman, the new device is working at room temperature and is the first memory of its kind in the world, containing 1,074,000,000 full


Eurofocus


IBM/Siemens tackle education of cycle time

At the SEMI-sponsored Advanced Semiconductor Manufacturing Conference (ASMC), Cambridge, MA, Nov 12-14, Friedrich Boebel and O. Ruelle of the IBM-Siemens DRAM fab in Corbeil-Essones, France, examined cycle time reduction efforts at the facility. Among other findings, the pair noted that tool downtime was only the fourth largest detractor from cycle time in their study. The small number of tools for a given operation, and the need to dedicate tools to certain processes, were the leading detractor


Eurofocus


Prospects for Semicon/Europa

The European semiconductor market, estimated at about $28 billion in 1995, is expected to double by the end of the decade, and this cheerful outlook is reflected in the bookings for SEMICON/Europa. According to SEMI, 1380 companies - a record - will be exhibiting at the 22nd annual SEMICON/Europa exposition, which will take place on April 15-17. The show will feature a number of technical, educational, and standards meetings, including a forum on fab productivity and the challenges of managing f


Lithography


New negative-tone photoresists avoid swelling and distortion

A wide range of photosensitive organic materials are used as photoresists for fabricating integrated circuits. Both positive- and negative-tone resists are needed to simplify and lower the costs of device fabrication. A new generation of negative-tone photoresists avoids several disadvantages of currently used materials for the fabrication of today`s submicron devices.


Market Watch


After the rude shock of 1996 equipment sales seen flat 97

If 1994 and 1995 were party years for both chipmakers and their tool suppliers, 1996 brought a hangover. Memory chips took three years of price drops all at once, causing the overall semiconductor market to shrink for the first time in over a decade, and the arrival of new fabs, coupled with slackening demand early in the year, created excess capacity. As a result, capital equipment orders were cut or postponed, and many tool suppliers engaged in substantial work force reductions.


FEATURES

Industry Insights


Challenges in the deep-submicron

Within two or three generations beyond quarter micron, many current mainstream semiconductor manufacturing processes will not be extendable. They will be incompatible for various reasons: the smaller physical geometries, the greater control required across larger dimensions, and the tighter particle and molecular contamination specs. Controlling, modeling, and practicing complex interactive processes on larger than 200-mm wafers that contain larger than one-inch dice (in the die-size-limited-yie


Feature Products


Wafer surface characterization

The HRP-200 high-resolution profiler is designed to perform automated, in-process planarization monitoring of wafers with device geometries as small as 0.25 ?m. The instrument has the ability to measure a surface on both the macroscopic (50 mm) and microscopic (0.25 ?m) scales. Its fine-resolution capabilities allow device manufacturers to optimize the CMP process by measuring such characteristics as tungsten plug recess, pattern-induced erosion, dishing of metal features, and scratching of inte


Feature Products


PFA pinch valve

The Dymak PFA pinch valve is intended for use in semiconductor applications that require high-purity chemical handling. Its all-PFA pinch element provides good chemical resistance and low particle generation. This, combined with its straight-through flow path, makes the Dymak suitable for chemistries such as the slurries used in CMP. The straight-through flow path has low potential for particle entrapment and creates less turbulence than conventional valve designs, resulting in high flow capabil


Feature Products


CVD/PVD system

Liner TxZ Centura is a fully integrated system that combines a CVD titanium nitride chamber with a Coherent PVD titanium chamber. By combining the two chambers on the Centura plat-form, semiconductor manufacturers can deposit sequential layers of Ti and CVD TiN in high-aspect-ratio metal structures under vacuum, thereby controlling the growth of unwanted oxide between processing steps. The system also provides good TiN step coverage at low temperatures, suiting it for the advanced multilevel met


Feature Products


Optical inspection tool

The INS 3000 features one-, two-, or three-cassette operation with an integrated noncontact prealigner. Its optical system ensures good optical quality under all available imaging techniques (brightfield, darkfield, differential interference contrast, and confocal). The viewing extension from the optical axis and air flow control virtually eliminate excess particulate. Cassette load height is <900 mm and horizontal load is <175 mm, adhering to the new SEMI guidelines. The controls of the INS 300


Links


Sematechs Burgeoning patents may be future revenue generator

With the recent awarding of a patent on pulsed-force chemical-mechanical planarization, SEMATECH now has a portfolio of some 70 patents. Though its primary objective is protecting the usage rights of member companies, the consortium may be nearing a time when it can begin to derive revenue from its intellectual property holdings.


Links


Electrostatic Problems and ionization solution in TFT-LCD Production

The liquid crystal display (LCD) has a multilayered structure combining insulating materials and electronic circuit devices. Since many LCD production methods involve friction, movement, and separation of materials, it is difficult to avoid static charge generation and the resulting problems of particle attraction and electrostatic discharge (ESD). This paper will describe the problems caused by static charge in LCD manufacturing and discuss control measures using ionization.


Gases


Pressure control in high vaccum multichamber system

Won Ick Jang, Jong Hyun Lee, Jong Tae Baek, Semiconductor Division, Electronics and Telecommunications Research Institute, Yusong, Taejon, South Korea


Automation And Control F


Automation and Control for 300-mm Process tools

Working through industry consortia, the world`s semiconductor device manufacturers are driving the development of 300-mm process tools. They have begun to provide concrete process performance and cost-of-ownership (COO) benchmarks, as well as detailed mechanical automation and software control specifications against which they will evaluate equipment suppliers for 300-mm fab suitability. The level of detail provided by these unified worldwide groups is unprecedented.


Plate Design And Cost Of


Plate design and cost of ownership for in process FPD test systems

This paper will discuss the tradeoffs in flat panel display (FPD) plate design strategies, such as the use of 1G1D (1 gate, 1 data) or 2G2D (2 gate, 2 data) shorting bars, and their impact on test coverage, throughput, probing requirements, and other factors that can affect the total cost of ownership (COO).