Tag Archives: New Products

KLA-Tencor Corporation announced two new defect inspection products at SEMICON West this week, addressing two key challenges in tool and process monitoring during silicon wafer and chip manufacturing at the leading-edge logic and memory nodes. The VoyagerTM1015 system offers new capability to inspect patterned wafers, including inspection in the lithography cell immediately after development of the photoresist, when the wafer can be reworked. The Surfscan SP7 system delivers unprecedented defect detection sensitivity on bare wafers, smooth and rough films—essential for manufacturing silicon substrates intended for the 7nm logic and advanced memory device nodes, and equally critical for earliest detection of process issues during chip manufacturing. Together the two new inspection systems are designed to accelerate time-to-market for innovative electronic devices by capturing defect excursions at their source.

“With leading IC technologies, wafer and chip manufacturers have very little room for error,” said Oreste Donzella, Senior Vice President and Chief Marketing Officer at KLA-Tencor. “Critical dimensions of next-generation chips are so small that the minimum size of a yield-killing defect on bare silicon wafers or blanket-film monitor wafers has shrunk below the detection limit of available tool monitoring systems. A second key gap in the defect detection space has been reliably detecting yield-killing defects introduced early in the lithography process, whether 193i or EUV. Our engineering teams have developed two new defect inspection systems—one for unpatterned/monitor wafers and one for patterned wafers—that provide key capability for engineers to address these difficult defect issues rapidly and accurately.”

The Surfscan SP7 unpatterned wafer defect inspection system achieves its high sensitivity through innovations in illumination and sensor architecture that produce decades of improvement in resolution over that of the previous-generation Surfscan tool. This leap in resolution is the key to detection of the smallest killer defects. The new resolution realm also enables real-time classification of many defect types, such as particles, scratches, slip lines and stacking faults—without removing the wafer from the Surfscan tool or affecting the system throughput. At the same time, control over peak power density allows the Surfscan SP7 to inspect thin, delicate EUV photoresist materials.

The Voyager 1015 patterned wafer defect inspection system closes a long-standing industry gap in after-develop inspection (ADI), leveraging novel illumination, collection and sensor architecture. This revolutionary laser scattering inspection system drives sensitivity forward while reducing nuisance signals—and delivers results substantially sooner than the next-best alternatives. Like the new Surfscan SP7, the Voyager system features exceptional control of power density, allowing inline inspection of delicate photoresist materials after develop. High throughput capture of critical defects in the litho cell and other modules of the fab allows process issues to be identified and rectified rapidly.

3D-Micromac AG, the industry leader in laser micromachining and roll-to-roll laser systems for the semiconductor, photovoltaic, medical device and electronics markets, today introduced the microPREP™ 2.0 laser ablation system for high-volume sample preparation of metals, semiconductors, ceramics and compound materials for microstructure diagnostics and failure analysis (FA).

Built on a highly flexible platform with a small table-top footprint, the microPREP 2.0 allows for easy integration into FA workflows. Developed jointly with Fraunhofer Institute for Microstructure of Materials and Systems (IMWS), the microPREP 2.0 complements existing approaches to sample preparation such as focused ion beam (FIB) micromachining, offering up to 10,000 times higher ablation rates and therefore an order of magnitude lower cost of ownership (CoO) compared to FIB. As the first stand-alone, ultrashort pulsed laser-based tool for sample preparation, the microPREP 2.0 brings additional unique capabilities, such as enabling large-area and 3D-shape sampling to allow for more comprehensive testing of complex structures.

The microPREP™ 2.0 laser ablation system from 3D-Micromac provides high-volume sample preparation of metals, semiconductors, ceramics and compound materials for microstructure diagnostics and failure analysis.

Sample preparation an enabling step for semiconductor failure analysis

Cutting and preparing samples from semiconductor wafers, dies and packages for microstructure diagnostics and FA is an essential but time-consuming and costly step. The primary method of sample preparation used in semiconductor and electronics manufacturing today is FIB micromachining, which can take several hours to prepare a typical sample. FIB only allows for very small sample sizes, and precious FIB time is wasted by “digging” excavations needed for cross-sectional imaging in a scanning electron microscope or making a TEM lamella. Reaching larger depths or widths is severely restricted by the limited ablation rate.

3D-Micromac’s microPREP 2.0 significantly accelerates these critical steps, bringing sample preparation for semiconductor and materials research to a new level. By off-loading the vast majority of sample prep work from the FIB tool and relegating FIB to final polishing or replacing it completely depending on application, microPREP 2.0 reduces time to final sample to less than one hour in many cases.

“This award-winning tool brings unprecedented flexibility into sample prep. We at Fraunhofer IMWS are facing the need for targeted, artifact-free and most reliable preparation workflows to be able to serve our industry customers with cutting-edge microstructure diagnostics. Made for diverse techniques like SEM inspection of advanced-packaging devices, X-ray microscopy, atom probe tomography, and micro mechanics, microPREP was developed jointly with
3D-Micromac to close gaps in preparation workflows,” said Thomas Höche, Fraunhofer IMWS.

Last month, 3D-Micromac and Fraunhofer IMWS received the prestigious TUV SUD Innovation Award for their collaboration on the development of microPREP 2.0. The annual prize honors successful cooperation between small and medium-size enterprises and research institutions. It is administered by TUV SUD, a leading technical service corporation serving the industry, mobility and certification segments.

TÜV SÜD Innovation Award ceremony on June 26. From left to right: Prof. Dr. med. Thomas Hoeche, Fraunhofer IMWS; Uwe Wagner, 3D-Micromac AG; Prof. Dr. Simon Hecker, University of Munich;
Prof. Dr. Stefan Sentpali, MdynamiX AG; Pascal Russ and Andreas Russ, Simi Reality Motion Systems GmbH; Prof. Dr. Axel Stepken, TÜV SÜD AG.

Key benefits of microPREP 2.0 include:

  • Much higher ablation rate compared to FIB (by several orders of magnitude)
  • Up to an order of magnitude lower CoO compared to classical FIB workflow
  • High degree of automation due to recipe-based, ergonomic user interface
  • Extremely high energy densities can be focused in very small areas (allowing for operation in the multi-photon absorption regime needed to machine at-wavelength-transparent materials and enabling stable process windows)
  • Virtually no structural damage from local heating due to the platform’s very short pulse lengths (pico-second range)
  • Providing larger-sized samples with micron-level precision — enabling multi-site FA on whole chip or package areas in a much shorter period of time and a multitude of workflows delivering samples for various FA techniques
  • Enables the creation of samples with complicated/3D shapes to enable more comprehensive analysis of certain structures, such as through silicon vias (TSVs) or even complete systems-in-package (SiP)

Laser processing without elemental contamination

microPREP 2.0 can be used for a variety of semiconductor sample preparation applications, including: in-plane geometries and bulk samples; cross-sections; box milling (such as for diagnostics of electrical connections and 3D chip-level structures); and full line cut (for complex investigations of complete devices). Samples can be moved between microPREP 2.0 and FA tools using the same pin stubs and holders, which provides even greater ease of use and time savings.

“The growing complexity of microelectronics manufacturing is driving the need for faster, more reliable and cost-effective, and artifact-free sample preparation techniques at the micron scale,” stated Jan Klinger, chief sales officer at 3D-Micromac. “Building on our extensive expertise in laser micromachining, 3D-Micromac can now offer an optimal sample preparation solution for this market. By off-loading the coarse and time-consuming task of sample preparation to a simple and fast support tool, microPREP frees up our customers’ time to focus their efforts on fab-critical issues like trouble-shooting process and yield problems.”

Media, analysts and potential customers interested in learning more about 3D-Micromac’s laser micromachining solutions, including microPREP 2.0, are invited to visit the company at SEMICON West 2018, July 10-12 at the Moscone Convention Center in San Francisco, Calif., in South Hall, booth #1645. More information on microPREP is also available on http://3d-micromac.com/laser-micromachining/products/microprep/.

INFICON,a manufacturer of leak test equipment, introduced the UL3000 Fab leak detector for semiconductor manufacturing maintenance teams to easily check the tightness of vacuum chambers for wafer production. Special advantages of the new leak detector are its fast readiness and unrivaled simplicity enabling the operator to find leaks of all sizes with the same procedures. It also has a slim mobile design for easy maneuverability and an intuitive operating concept for easy operation. The UL3000 Fab, which uses helium as a test gas, detects even the smallest leakage rates up to 5 x 10-12 atm cc/, thus providing the highest seal confirmation tightness of vacuum chambers for wafer production.

Daniel Hoffman, Sales and Service Manager for Leak Detection in the Americas, sees the new model as a big step forward. “Constantly innovating and optimizing our products to meet customer needs is a core goal for INFICON. With our new UL3000 Fab we will enable leak detection productivity gains never before seen in the semiconductor leak testing process,” said Hoffman.

The powerful, compact and smart leak detector enables testing at atmospheric pressure (through MASSIVE leak function) with best in class time to test or background generation, saturation protection, smart power and PM saving control all in a compact package. With its narrow design (only 18.6 inches wide), the mobile leak detector is designed for high maneuverability. Also, UL3000 Fab features robust construction, a deep center of gravity and large tires to ensure optimum mobility.

UL3000Fab_sil_right_MEDIUM

Radiant Vision Systems, a provider of high-resolution imaging solutions for automated visual analysis of devices and surfaces, announces the release of the INSPECT.assembly system, a new turnkey automated visual inspection station for in-line assembly verification. The INSPECT.assembly is fully-integrated with Radiant technology and configured to precise tolerances to meet production-level inspection needs of complex electronic assemblies. The INSPECT.assembly system detects the presence, position, and integrity of components including screws, cables, connectors, and other critical features before final device enclosure to automate assembly inspection.

radiant visions

“Electronics manufacturing processes today are largely automated. However, final inspection for board-based connected assemblies has lacked an effective automated solution that ensures both consistency and accuracy,” says Davis Bowling, Radiant’s Regional Account Manager for assembly verification applications. “At the final stages of production where internal components are verified – before electronics are enclosed before or after functional testing – human inspectors remain the primary inspection method. This is due to the human’s superior visual acuity and judgment over typical machine vision systems for complex visual analysis. Humans can quickly detect very subtle defects in a variety of assembly contexts, even as parts change. However, human inspection lacks an automated system’s repeatability. To apply an automated solution in these contexts, the technology must offer the same level of visual acuity and judgment to ensure failures do not escape or result after goods are shipped.”

Radiant’s new INSPECT.assembly system is a turnkey inspection station that employs ProMetric® Y imaging systems with camera resolution (up to 29 megapixels) and dynamic range (above 70 dB) far exceeding the specifications of typical machine vision systems. Applied in photometric measurement of light and color in displays and backlit components, ProMetric cameras capture fine-detail images with a level of precision that rivals human visual acuity. Because INSPECT.assembly is fully-integrated with Radiant camera, lighting, fixturing, and software, Radiant engineers are able to design each INSPECT.assembly to match the specifications of each customer application. This advanced vision technology solves critical inspection challenges through a combination of the image registration & analysis functions of the camera with proprietary machine vision “super tools” in INSPECT Software, which blend multiple machine vision software algorithms in a single tool to enable comprehensive analysis of specific features. For instance, a tool can be engineered with the unique algorithms required to locate the routing path of a cable to ensure that it is properly seated around guides on a board-based assembly.

“Capturing precise feature flaws during final inspections is critical not only for preventing functional failures in the manufacturing process, but also latent failures that may occur after shipment,” states Bowling. “A cable that is routed away from its guide may be pinched or damaged with repeated device use. A loose connector may detach with vibration. These issues may cause a device to fail after it has left the manufacturing facility, resulting in a return or potentially a broader product recall. The INSPECT.assembly’s imaging capability combined with custom-configured software allow manufacturers to catch subtle errors like these that human inspectors, standard machine vision systems, and functional testing may miss.”

Radiant’s new INSPECT.assembly system rivals human visual acuity and judgment for detecting defects while quantifying visual data for automated operations, bridging the gap between human and machine vision inspection for the most challenging assemblies. Occupying the same physical footprint as a human operator on the line, the INSPECT.assembly system easily rolls onto moving conveyers, adjusting to heights from 525-950 mm. The system features a touch screen for results monitoring, adjustment of inspection tolerances, and part changes. The system’s INSPECT Software is pre-configured with multiple inspection tool recipes specific to each part, enabling adaptability to line changeover. The system also offers reporting functionality, barcode reading, and data output for traceability of inspection results and process control to improve operations for reducing product returns and recalls.

Applied Energy Systems (AES), provider of high and ultra high purity gas systems, services, and solutions – including design, manufacturing, testing, installation, and expert field service – is showcasing the capabilities of its SEMI-GAS® Xturion™ Blixer™ to support various processes that require forming gas mixtures. The Blixer™ provides a cost-effective alternative to purchasing expensive pre-mixed gas cylinders by enabling operators to blend their own mixtures on-site in their facility.

The ultra high purity gas mixing blender is used by customers across a diverse range of industries to uniformly mix H2 and N2 concentrations in customizable ratios that meet their distinct process requirements. Mixtures can be adjusted in real-time via the system’s GigaGuard™ PLC Controller, which features a 9” Siemens color touchscreen for intuitive operation, allowing the user to fine-tune formulations on demand. This makes the system particularly appealing for high volume applications, eliminating the need to stock a variety of pre-mixed forming gas concentrations, decreasing the frequency of cylinder change-outs, reducing tool downtime, increasing productivity, and ultimately providing the end user with a significant cost savings.

The Blixer™ system is designed to provide a continuous flow of precise gas blends and includes a static mixing tube and surge/mixing tank to address dynamic flow changes and effectively maintain mix tolerances. It is also equipped with a Thermal Conductivity Hydrogen Gas Analyzer, featuring auto-calibration capability and a low flow alarm, to ensure +/- 1% blending accuracy. Its PLC Controller includes Ethernet connectivity to allow for seamless integration with a facility’s Monitoring System, and the system’s hydrogen hazardous gas detector and automatic shutdown feature alert operators during undesirable system conditions.

“We have found the Blixer™ to be especially beneficial to customers using forming gas mixtures because it gives them flexibility to custom-blend H2/N2 concentrations in the exact ratios they desire—instead of investing in expensive pre-mixed cylinders that still may not be precisely mixed to their unique process requirements,” said Greg Havrilla, Technical Inside Sales Engineer for AES. “The system’s value spans industries. We’ve seen it support laser-based technology development, semiconductor fabrication, electrically-powered vehicle manufacturing, sustainable energy solutions, and a variety of industrial manufacturing applications. Its flexibility is reflected in its ability to satisfy a range of process-driven demands.”

AES-SEMI-GAS-Xturion-Blixer-System

The labor-intensive, manual process of recording precise measurements across various wafer coordinates is now programmable for automated data collection and report generation.

ACU-THIK™ is an automated thickness measurement tool incorporating dual contact probes for high accuracy inspection of semiconductor wafers. Six Heidenhain measuring devices are integrated into the ACU-THIK™ system which can be configured to accommodate wafer diameters of 100mm – 400mm and larger. Acu-Gage customers can have a system customized for their precise needs to make differential gage measurement faster and easier.

Diagnosing as well as controlling thickness, bow and warp in semiconductor wafer production is now automated when using ACU-THIK™. Users can preprogram multiple pattern operations to fulfill planned production cycles. Additionally, the system supports robotics integration to further free up operators for other important tasks.

ACU-THIK’s automated measurements can improve quality-assured production yields by:

  • Calculating wafer thickness across X/Y points to resolution and repeatability of .00025mm/.00001 inch (10 millionths of an inch)
  • Determining the amount of bow deviation in an unclamped wafer established by three or more points at equidistant locations
  • Examining the entire wafer for warp by incorporating more comprehensive data points to provide a more useful measurement of the full wafer shape
  • Accelerating throughput with 15 data points of X/Y thickness measurements in under two minutes as well as increasing accuracy of wafer thickness and flatness definitions
  • Validating pre- and post-measurement integrity of data collection for each wafer inspection – ACU-THIK™ calculates the thickness of a certified gage block prior to as well as after the wafer inspection routine is complete.

The X/Y location for each thickness data point automatically outputs to Excel for further analysis. Programming software runs on Windows 7. Both hardware and software come delivered as a turnkey system including installation and training.

IMG_1252 (1)

3D-Micromac AG, a developer of laser micromachining and roll-to-roll laser systems for the photovoltaic, medical device and electronics markets, is presenting its highly productive microCELL systems for laser processing of crystalline solar cells at the SNEC 2017 International Photovoltaic Power Generation Conference & Exhibition, to be held April 17-21 in Shanghai, China.

Second-generation microCELL OTF laser system from 3D-Micromac for laser contact opening (LCO) of high-efficiency PERC solar cells.

Second-generation microCELL OTF laser system from 3D-Micromac for laser contact opening (LCO) of high-efficiency PERC solar cells.

In addition to showcasing the microCELL TLS, a production solution for half-cell cutting with Thermal Laser Separation (TLS), 3D-Micromac will introduce its second-generation microCELL OTF system for Laser Contact Opening (LCO) of high-efficiency Passivated Emitter Rear Contact (PERC) solar cells.

The industry-proven microCELL OTF systems produce a selective opening on backside-passivated multi- and monocrystalline solar cells to allow more light to be absorbed by the solar cell. The newly introduced second-generation system provides outstanding productivity with a throughput of more than 8,000 wafers per hour–double the throughput of the previous-generation microCELL OTF system and well above that of competing solutions. This is facilitated by dual-lane wafer handling and on-the-fly laser processing.

The new tool generation meets customers’ requirements for inline integration into two- or three-line metallization machinery since the throughput of the single laser process step now matches that of the other process steps in the production line–ensuring that the laser process is not the bottleneck in material flow.

Besides PERC, the tool can also be used for laser-doped selective emitter processes.

ULVAC, Inc. is pleased to announce the NA-1500 dry etching system for 600mm advanced packaging substrates, providing for uniform Descum and Ti etching processes.

Low_ulvac1

Higher data transfer speeds require higher-density packaging technologies, while advanced mobile and wireless devices require thinner and higher-pin-count IC packages. Fan-Out Wafer Level Packaging (FO-WLP) is widespread, while Panel Level Packaging increases substrate size from 300mm to 600mm.

While there are many 200mm/300mm wafer dry etching systems in the market today, there was no dry etching system for 600mm substrates, providing for a uniform Descum process and Ti etching process. ULVAC developed the new system to address this need, and support mass-produced packaging processes.

The new NA-1500 dry etching system is made possible by enhancing our proven plasma source. Our plasma source enables fast, low-temperature etching in the resin layer, which had been previously impossible with existing CCP methods.

Our plasma source is also applicable to fluorine gases, so seed layer Ti etching, which requires a wet process, can proceed without side etching. SiO2 and SiN etching is available on the NA-1500 as well.

The NA-1500 dry etching system provides stable transfer and processes without abnormal discharge, ensuring warpage from the enlarged substrate is never an issue.

Astronics Corporation (NASDAQ:ATRO), through its wholly-owned subsidiary Astronics Test Systems, introduced two new test instruments today. The new PXIe-1802 Arbitrary Waveform Generator and the new PXIe-1803 Digitizer deliver unprecedented test capabilities and measurement accuracy in a compact, robust PXI form factor for aerospace, defense, communications, and other high-reliability applications.

“The demand for high performance PXI test instruments continues to rise, both as legacy test systems are upgraded with additional functionality and as new systems are introduced,” explained Steve Fairbanks, Senior Director of Product Marketing for Astronics Test Systems. “Our latest additions to our product portfolio enhance our ability to provide a breadth of test functionality for next generation test initiatives.”

Top Flight Performance in Waveform Generation and Digitizer Functions

The PXIe-1802 Arbitrary Waveform Generator (AWG) offers both speed and performance for output frequencies of up to 125 MHz. With built-in waveforms, high signal quality, high density and modularity, and a host of other convenient features, this AWG delivers dual 14/16-bit waveform generator channels, bandwidths of 90-140 MHz, synchronization, and 250 μV measurement accuracy.

The PXIe-1803 is a 130/180 MS/s dual-channel digitizer providing industry-leading speed and performance for input frequencies up to 175 MHz. With exceptional signal integrity, high density, and modularity, this new digitizer provides a dual-channel 14/16-bit digitizer configurable as separate or fully synchronized channels. Other features include waveform bandwidths of 65-175 MHz (typical), 64M of waveform memory per channel, and relative accuracy of up to 0.006%.

Astronics.png

Edwards, one of the world’s largest manufacturers of integrated vacuum and abatement solutions, launched a new Thermal Management System (TMS) at SEMICON Europa today. The new Smart TMS adds feedback control to accurately maintain gas temperature in vacuum pump fore lines and exhaust lines. Unheated lines can be clogged by condensed process materials and by-products. The Smart TMS reduces downtime and risks to service personnel tasked with cleaning out these often hazardous materials. The Smart TMS also improves energy efficiency and functionality with programmable remote controllers that interface readily to fab control software.

“We have worked extensively with our customers to improve their process productivity, as well as their fab safety,” states Ralph Loske, Business Line Manager, Semi & DSL for Edwards. “One of the major perceived risks associated with condensation is blockage of the exhaust pipe and a consequent process interruption. However, there are also other serious hazards that may result from condensed materials in exhaust pipes. For example, an exhaust fire can occur when partly reacted silicon compounds condense in exhaust pipes during a deposition process, and are subsequently exposed to fluorine during a chamber-cleaning process.”

To counter the condensation threat and improve system safety and productivity, the Smart TMS system controls gas temperatures between the pump exhaust port and the abatement inlet. It includes temperature monitoring within the heating elements, enabling feedback control to accurately maintain exhaust temperature at a specified set-point.

Ralph adds, “Our global applications group is able to holistically look at each customer’s system to customize the right solution for their specific application requirements. The Smart TMS solution is also valuable to manufacturers of flat panel displays (FPD) and solar cells, who use similar processes, including: chemical vapor deposition (CVD), epitaxy, oxide etch and poly etch, which have the potential for condensation and deposition in the exhaust lines.”

tms