Tag Archives: Top Story Left

The Semiconductor Industry Association (SIA) announced worldwide sales of semiconductors reached $29.0 billion for the month of October 2015, 1.9 percent higher than the previous month’s total of $28.4 billion and 2.5 percent lower than the October 2014 total of $29.7 billion. The Americas market posted 3.9 percent growth compared to last month, leading all regions. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average. Additionally, a new WSTS industry forecast projects slight market growth for the next three years.

“Global semiconductor sales have shown signs of stabilizing in recent months, with October marking the third straight month of month-to-month growth,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Year-to-date sales are narrowly ahead of where they were through the same time last year, and slight growth is projected for next year and beyond.”

Month-to-month sales increased across all regional markets: the Americas (3.9 percent), China (1.6 percent), Europe (1.2 percent), Japan (0.4 percent), and Asia Pacific/All Other (1.7 percent). Compared to October 2014, sales were up in China (5.7 percent), but down in the Americas (-5.6 percent), Europe (-9.4), Japan (-10.5 percent), and Asia Pacific/All Other (-2.4 percent).

Additionally, SIA endorsed the WSTS Autumn 2015 global semiconductor sales forecast, which projects the industry’s worldwide sales will reach $336.4 billion in 2015, a 0.2 percent increase from the 2014 sales total. WSTS projects year-to-year increases for 2015 in Asia Pacific (3.9 percent), with decreases projected for the Americas (-0.6 percent), Europe (-8.2 percent), and Japan (-10.3 percent).

Beyond 2015, the global market is expected to grow at a modest pace. WSTS forecasts 1.4 percent growth globally for 2016 ($341.0 billion in total sales) and 3.1 percent growth for 2017 ($351.6 billion). WSTS tabulates its semi-annual industry forecast by convening an extensive group of global semiconductor companies that provide accurate and timely indicators of semiconductor trends.

October 2015

Billions

Month-to-Month Sales                               

Market

Last Month

Current Month

% Change

Americas

5.82

6.05

3.9%

Europe

2.87

2.90

1.2%

Japan

2.69

2.70

0.4%

China

8.45

8.58

1.6%

Asia Pacific/All Other

8.58

8.72

1.7%

Total

28.41

28.96

1.9%

Year-to-Year Sales                          

Market

Last Year

Current Month

% Change

Americas

6.41

6.05

-5.6%

Europe

3.21

2.90

-9.4%

Japan

3.01

2.70

-10.5%

China

8.12

8.58

5.7%

Asia Pacific/All Other

8.94

8.72

-2.4%

Total

29.68

28.96

-2.5%

Three-Month-Moving Average Sales

Market

May/Jun/Jul

Aug/Sept/Oct

% Change

Americas

5.51

6.05

9.7%

Europe

2.83

2.90

2.5%

Japan

2.63

2.70

2.3%

China

8.18

8.58

5.0%

Asia Pacific/All Other

8.71

8.72

0.2%

Total

27.87

28.96

3.9%

Researchers from North Carolina State University have discovered a new phase of solid carbon, called Q-carbon, which is distinct from the known phases of graphite and diamond. They have also developed a technique for using Q-carbon to make diamond-related structures at room temperature and at ambient atmospheric pressure in air.

Phases are distinct forms of the same material. Graphite is one of the solid phases of carbon; diamond is another.

“We’ve now created a third solid phase of carbon,” says Jay Narayan, the John C. Fan Distinguished Chair Professor of Materials Science and Engineering at NC State and lead author of three papers describing the work. “The only place it may be found in the natural world would be possibly in the core of some planets.”

Q-carbon has some unusual characteristics. For one thing, it is ferromagnetic — which other solid forms of carbon are not.

“We didn’t even think that was possible,” Narayan says.

In addition, Q-carbon is harder than diamond, and glows when exposed to even low levels of energy.

“Q-carbon’s strength and low work-function — its willingness to release electrons — make it very promising for developing new electronic display technologies,” Narayan says.

But Q-carbon can also be used to create a variety of single-crystal diamond objects. To understand that, you have to understand the process for creating Q-carbon.

Researchers start with a substrate, such as such as sapphire, glass or a plastic polymer. The substrate is then coated with amorphous carbon — elemental carbon that, unlike graphite or diamond, does not have a regular, well-defined crystalline structure. The carbon is then hit with a single laser pulse lasting approximately 200 nanoseconds. During this pulse, the temperature of the carbon is raised to 4,000 Kelvin (or around 3,727 degrees Celsius) and then rapidly cooled. This operation takes place at one atmosphere — the same pressure as the surrounding air.

The end result is a film of Q-carbon, and researchers can control the process to make films between 20 nanometers and 500 nanometers thick.

By using different substrates and changing the duration of the laser pulse, the researchers can also control how quickly the carbon cools. By changing the rate of cooling, they are able to create diamond structures within the Q-carbon.

“We can create diamond nanoneedles or microneedles, nanodots, or large-area diamond films, with applications for drug delivery, industrial processes and for creating high-temperature switches and power electronics,” Narayan says. “These diamond objects have a single-crystalline structure, making them stronger than polycrystalline materials. And it is all done at room temperature and at ambient atmosphere – we’re basically using a laser like the ones used for laser eye surgery. So, not only does this allow us to develop new applications, but the process itself is relatively inexpensive.”

And, if researchers want to convert more of the Q-carbon to diamond, they can simply repeat the laser-pulse/cooling process.

If Q-carbon is harder than diamond, why would someone want to make diamond nanodots instead of Q-carbon ones? Because we still have a lot to learn about this new material.

“We can make Q-carbon films, and we’re learning its properties, but we are still in the early stages of understanding how to manipulate it,” Narayan says. “We know a lot about diamond, so we can make diamond nanodots. We don’t yet know how to make Q-carbon nanodots or microneedles. That’s something we’re working on.”

NC State has filed two provisional patents on the Q-carbon and diamond creation techniques.

Demand for LTPS TFT LCD shipments rose 30 percent in September 2015 to reach 51.6 million units, due to strong demand from Apple and Chinese brands. Total smartphone panel shipments grew 4 percent month over month to reach 160 million units in September 2015. While amorphous silicon (a-Si) thin-film transistor (TFT) liquid-crystal display (LCD) panels continue to lead the smartphone display market, low-temperature polysilicon (LTPS) TFT LCD panel shipment share is growing, according to IHS Inc., a of critical information and insight.

“TFT-LCD, based on a-Si substrate, has been the leading panel technology for mobile phones because it is easy to manufacture and costs less to produce than other display technologies. However, since Apple adopted LTPS for its popular iPhones, demand for the new technology has continued to increase,” said Brian Huh, senior analyst for IHS Technology. “While LTPS panels cost greater, they boast lower power consumption and higher resolution compared to a-Si LCD panels. Greater demand for higher definition screens, especially in China, has also increased the adoption of LTPS LCD mobile phone displays.”

Based on the latest information in the IHS Smartphone Display Shipment Trackerthe market share for the a-Si TFT LCD panel fell 10 percent month over month, but the panel still comprised the majority of smartphone display shipments, reaching 79.6 million in September 2015. Active-matrix organic light-emitting diode (AMOLED) panel shipments grew 7 percent to reach just 25 million units.

As a point of differentiation in the smartphone display market, Samsung Electronics adopted AMOLED-based LTPS displays in 2009. At that time Samsung Display was not looking to expand its customer base because Samsung Electronics digested almost all of the company’s AMOLED capacity. However as Samsung Electronics’ AMOLED smartphone business began to decline last year, Samsung Display has been expanding its customer lineup. “Since the end of last year, Samsung Display has been actively and aggressively promoting AMOLED displays to other electronics companies, especially in China, and AMOLED panel shipments for Chinese brands have increased remarkably since September,” Huh said.

ON Semiconductor Corporation and Fairchild Semiconductor International Inc. today announced plans for ON Semiconductor to acquire Fairchild for $20.00 per share in an all cash transaction valued at approximately $2.4 billion. The acquisition creates a leader in the power semiconductor market with combined revenue of approximately $5 billion, diversified across multiple markets with a strategic focus on automotive, industrial and smartphone end markets.

“The combination of ON Semiconductor and Fairchild creates a power semiconductor leader with strong capabilities in a rapidly consolidating semiconductor industry. Our plan is to bring together two companies with complementary product lines to offer customers the full spectrum of high, medium and low voltage products,” said Keith Jackson, president and chief executive officer of ON Semiconductor. “The immediate EPS accretion and potential to significantly augment ON Semiconductor’s free cash flow, make the Fairchild acquisition an excellent opportunity for ON Semiconductor stockholders.”

“As part of ON Semiconductor, Fairchild will continue to pioneer technology and design innovation in efficient energy consumption to help our customers achieve success and drive value for our partners and employees around the world,” stated Mark Thompson, chairman and chief executive officer of Fairchild. “We look forward to working closely with the ON Semiconductor team to ensure a smooth transition.”

Following consummation, the transaction is expected to be immediately accretive to ON Semiconductor’s non-GAAP earnings per share and free cash flow, excluding any non-recurring acquisition related charges, the fair value step-up inventory amortization, and amortization of acquired intangibles. ON Semiconductor anticipates achieving annual cost savings of $150 million within 18 months after closing the transaction.

The transaction is not subject to a financing condition. ON Semiconductor intends to fund the transaction with cash from the combined companies balance sheet and $2.4 billion of new debt. The debt financing commitment also includes provisions for a $300 million revolving credit facility which will be undrawn at close. ON Semiconductor remains committed to its share repurchase program, and the agreed upon financing provides flexibility to continue share repurchases going forward.

Follow other industry acquisition news here.

Recent trends and future directions for wafer bonding are reviewed, with a focus on MEMS.

BY ERIC F. PABO, CHRISTOPH FLÖTGEN, BERNHARD REBHAN, PAUL LINDNER and THOMAS UHRMANN, EV Group, St. Florian, Austria

All devices and products are evaluated to varying degrees on the following factors: 1) availability or assurance of supply, 2) cooling requirements, 3) cost, 4) ease of integration, 5) ease of use, 6) performance, 7) power requirements, 8) reliability, 9) size, and 10) weight. MEMS devices are no exception and the explosive growth of MEMS devices during the last decade was driven by substantial improvements in some of the aforementioned variables. MEMS manufacturing is based on patterning, deposition and etch technologies developed over the last 50 years for the manufacturing of ICs along with the relatively new technologies of aligned wafer bonding and deep reactive ion etch (DRIE). This article will review the recent trends and future directions for wafer bonding with a focus on MEMS along with some mention of wafer bonding for RF and power devices.

The incredible growth in MEMS over the last 20 years has been enabled by the development of the DRIE process by Bosch and by aligned wafer bonding. Many MEMS devices have very small moving parts, which must be protected from the external environment. Initially, this was done using special packages at the die level, which was relatively expensive. Wafer-level capping of MEMS devices seals a wafer’s worth of MEMS devices in one operation, and these capped devices can then be packaged in a much simpler and lower-cost package. Anodic bonding and glass frit bonding were the initial bonding processes used for MEMS and are often referred to as “tried and true.” However, both of these processes have challenges, and as a result, few new MEMS products and processes are being developed using these processes.

Anodic bonding requires the presence of Na or some other alkali ion which causes several problems. The first is that Na ions are driven to the exterior of the wafer during the bonding process and will accumulate on the bonding tooling, requiring the tooling be cleaned on a periodic basis. The second is that Na can cause CMOS circuits to fail – preventing anodic bonding from being used to combine MEMS and CMOS. Almost all MEMS devices require a CMOS ASIC to process the output signal from the MEMS device. Historically, this integration has been done at the package level with wire bonding but now some high-volume products are available where the integration of the CMOS and the MEMS is done as part of the wafer-level capping process. Also, anodic bonding typically requires a maximum process temperature of over 400 ̊C and the presence of a strong electric field during bonding. The high temperature influences the throughput of the bonding process and some devices cannot tolerate the high electric field.

Even though the majority of the MEMS parts that exist today were probably bonded using glass frit, this wafer bonding process has several challenges as well. The major one is that the glass frit is applied and patterned using a silk screen process, which has a typical resolution in the 250 to 300μm range. This means that as the size of the MEMS die decreases, an ever greater percentage of the wafer surface is consumed by the bond line, which limits the number of die per wafer and increases the cost per die. FIGURE 1 shows the effect of bond line width and die size on the percentage of the wafer surface that is consumed by the bond line [1]. Also, many of the glass frits contain Pb to lower the glass transition temperature. Although the amount of Pb is very small, there is widespread concern regarding the use of Pb and being RoHS (Restriction of Hazardous Substance) compliant.

Wafer bonding 1

 

Both anodic bonding and glass frit bonds are nonconductive and therefore not suitable for the formation of connections to electrically conductive through silicon vias (TSVs) at the same time as the seal ring is formed. This means that these processes are not as suitable for the 3D integration of CMOS and MEMS.

For MEMS applications there is a strong trend toward the use of metal-based wafer bonding; in particular, liquid metal-based processes such as solder, eutectic and transient liquid phase (TLP). This trend is driven by the aforementioned challenges with anodic and glass frit bonding. Moving from glass frit to a metal-based bonding for a die size of 2mm2 can result in up to a 100% increase in the die per wafer. This doubling of the die per wafer will result in an approximately 50% decrease in the cost per MEMS die.

Some of the metal-based aligned-wafer-bonding processes that are currently used in high-volume manufacturing are: Au-Au thermo-compression bonding, which has been in volume production for over 10 years; and Al-Ge eutectic bonding, which is very popular even though it requires a very careful process setup and control and has a peak process temperature of over 400 ̊C. Cu-Sn transient liquid phase (TLP) wafer bonding, another metal-based process, is used in low-volume production of hermetically sealed devices such as micro-bolometers [2] but is not currently used in medium- or high-volume production. Cu-Sn TLP wafer bonding also requires very careful design and control of the metal stack as well as the bonding process.

The maximum process temperature that is required for a bonding process has three significant effects. The first is that the bonding process takes longer as the maximum process temperature increases due to the increased time required to heat up to the bonding temperature from the loading temperature and the time required to cool down to the unload temperature. The bonding process time determines the throughput of the wafer bonder(s) and factors into the cost of ownership (CoO) for the bonding process. The second is that the process temperature required for bonding may damage the devices on the wafers being bonded. The aluminum metallization of certain CMOS devices may be damaged at tempera- tures greater than 450 ̊C. The VOx or vanadium oxide used on the sensor pixels for micro-bolometers will be damaged by temperatures greater than 200 ̊C. The third is the internal stress that is created when wafers with mismatched coefficients of thermal expansion (CTE) are bonded together at an elevated temperature. In this case the higher the bonding temperature, the higher the internal stress at room temperature.

Unless the bonding metals are noble metals such as Au, oxides will form on the metal layer and have a negative effect on the bonding process – making an oxide management strategy necessary. This oxide management strategy can have elements that prevent the oxide from growing using special storage conditions or coatings, removing the oxide before bonding, and heating in an inert or reducing environment. In some cases, the bonding process can also be adjusted to overcome the effect of the oxides by increasing the pressure, temperature and time for the bonding process.

There is substantial interest in bonding processes and equipment that are capable of removing the native oxide from metals and other materials prior to wafer bonding and preventing the regrowth of oxide. Equipment capable of running such a process will have several substantial advantages. The first is that it will allow materials that have been previously difficult to bond to be bonded at or near room temperature. For example, Al-Al thermo-compression wafer bonding without the removal of the native oxide has previously been demonstrated, but required a process temperature of greater than 500 ̊C, which made the process unattractive for production [3]. Low temperature Al-Al thermo-compression bonding has been demonstrated by using a special surface treatment and doing all handling in a high vacuum environment (FIGURE 2). A low-temperature Al-Al thermo-compression bonding process has the advantage of using an inexpensive readily available conductive material and increased throughput due to the low process temperature. In addition to being used to form the seal ring, this low-temperature Al-Al bonding could be used for the 3D integration of MEMS and CMOS through the use of TSVs filled with Al.

Wafer bonding 2

This surface pretreatment and handling in high vacuum enables covalent bonding of two wafers at or near room temperature with no oxide in the interface. This process has several very significant advantages. The first is that the low process temperature allows the bonding of substrates with substantially different CTE such as LiNbO3 or LiTaO3 to Si or glass. This combination of materials has drawn the interest of RF filter manufacturers due to its ability to reduce the temperature sensitivity of surface acoustic wave (SAW) devices. The second is that materials with both a CTE mismatch and a lattice mismatch can be bonded together without the development of major crystalline defects that can arise when forming the material stack by growing one crystalline layer on top of another when there is a lattice mismatch. One interesting possibility is bonding GaN to diamond for applications where large amounts of heat must be removed from the GaN device. In addition, bonding a thin layer of monocrystalline SiC to a polycrystalline SiC could offer wafers with the electrical performance of monocrystalline SiC at a cost closer to the cost of polycrystalline SiC. Another application of this bonding process is to join materials such as GaInP, GaAs, GaInAsP and GaInAs for fabrication of quadruple junction concentrated solar cells with record conversion efficiency of 44.7% [4, 5].

A high-vacuum cluster tool capable of aligned wafer bonding offers significant advantages for MEMS applications where the vacuum level in the cavity after bonding is important, such as gyroscopes and micro-bolometers (FIGURE 3) [6]. Modules can be added to the base cluster tool to enable the wafers to be baked out at a controlled elevated temperature prior to alignment and bonding in high vacuum. Getter activation can also be done in the bake-out module without loading or saturating the getter, as all subsequent steps are done in high vacuum. For devices where getter activation requires a high temperature and the other wafer has thermal limits, two bake-out chambers allow a high-temperate bake-out and getter activation while the other chamber performs a lower-temperature bake out. For example, micro-bolometers that used vanadium oxide on the detector pixel have a thermal limit of about 200 ̊C, whereas the cap wafer contains a getter that should be activated around 400 ̊C. Also, the high-vacuum capability is beneficial for producing devices that are heated and use vacuum for thermal isolation because a higher vacuum reduces the heat loss, which reduces the power required to maintain the fixed temperature.

Wafer bonding 3

This high-vacuum cluster tool allows the separation of the process steps of bake out, surface treatment, alignment and bonding as well as allows the tool to be configured to the specific application needs. Also, the cluster tool base makes it possible to develop modules for specific applications without redesigning the entire tool.

The availability of reliable, highly automated, high-volume aligned wafer bonding systems and processes was one of the keys to the growth of MEMS over the past 15 years. The next 15 years are expected to be an exciting period of advancement for aligned wafer bonding as new equipment and processes are introduced, such as the tools and processes that allow separate pre-processing of the top and bottom wafer, as well as all handling, alignment, and bonding in vacuum. The cluster tools that will be used to do this will allow for further innovation by adding new modules to the cluster tool. In addition, the ability to remove surface oxides prior to bonding, prevent these oxides from reforming, bond at or near room temperature, and have a strong, oxide-free, optically transparent, conductive bond with very low metal contamination will allow many new product innovations for RF filters, power devices and even products that have not yet been thought of.

References

1. E. F. Pabo, “Metal Based Bonding – A Potential Cost Reducer?,” in MEMS MST Industry Conference, Dresden, 2011.
2. A. Lapadatu, “High Performance Long Wave Infrared Bolometer Fabricated by Wafer Bonding,” Proc. SPIE, vol. 7660, no. 766016-12.
3. E.Cakmak,“Aluminum Thermocompression Bonding Characterization,” in MRS Fall Mtg, Boston, 2009.
4. Fraunhofer ISE, Fraunhofer ISE Teams up with EVGroup to Enable Direct Semiconductor Wafer Bonds for Next-Generation Solar Cells, Freiburg: Press Release, 2013.
5. F. Dimroth, “Wafer bonded four-junction GaInP/GaAa/GaInAsP/ GaInAs,” Progress in Photonics, vol. 22, no. 3, pp. 277-282, 2014.
6. V.Dragoi,“Wafer Bonding for Vacuum Encapsulated MEMS,” Proc. SPIE9517 Smart Sensor, Actuators, and MEMS VII, 2015.

ERIC F. PABO is Business Development Manager, MEMS; CHRISTOPH FLÖTGEN, and BERNHARD REBHAN are scientists, PAUL LINDNER is Executive Technology Director and THOMAS UHRMANN is Director Of Business Development at EV Group, St. Florian, Austria

WEST LAFAYETTE, Ind. — Silver nanowires hold promise for applications such as flexible displays and solar cells, but their susceptibility to damage from highly energetic UV radiation and harsh environmental conditions has limited their commercialization.

New research suggests wrapping the nanowires with an ultrathin layer of carbon called graphene protects the structures from damage and could represent a key to realizing their commercial potential.

“We show that even if you have only a one-atom-thickness material, it can protect from an enormous amount of UV radiation damage,” said Gary Cheng, an associate professor of industrial engineering at Purdue University.

The lower images depict how graphene sheathing protects nanowires even while being subjected to 2.5 megawatts of energy intensity per square centimeter from a high-energy laser, an intensity that vaporizes the unwrapped wires. The upper images depict how the unwrapped wires are damaged with an energy intensity as little as .8 megawatts per square centimeter. (Purdue University image)

The lower images depict how graphene sheathing protects nanowires even while being subjected to 2.5 megawatts of energy intensity per square centimeter from a high-energy laser, an intensity that vaporizes the unwrapped wires. The upper images depict how the unwrapped wires are damaged with an energy intensity as little as .8 megawatts per square centimeter. (Purdue University image)

Devices made from silver nanowires and graphene could find uses in solar cells, flexible displays for computers and consumer electronics, and future “optoelectronic” circuits for sensors and information processing. The material is flexible and transparent, yet electrically conductive, and is a potential replacement for indium tin oxide, or ITO. Industry is seeking alternatives to ITO because of drawbacks: It is relatively expensive due to limited abundance of indium, and it is inflexible and degrades over time, becoming brittle and hindering performance, said Suprem Das, a former Purdue doctoral student and now a postdoctoral researcher at Iowa State University and The Ames Laboratory.

However, a major factor limiting commercial applications for silver nanowires is their susceptibility to harsh environments and electromagnetic waves.

“Radiation damage is widespread,” said Das, who led the work with Purdue doctoral student Qiong Nian (pronounced Chung Nee-an). “The damage occurs in medical imaging, in space applications and just from long-term exposure to sunlight, but we are now seeing that if you wrap silver nanowires with graphene you can overcome this problem.”

Findings appeared in October in the journal ACS Nano, published by the American Chemical Society. The paper was authored by Das; Nian; graduate students Mojib Saei, Shengyu Jin and Doosan Back; previous postdoctoral research associate Prashant Kumar; David B. Janes, a professor of electrical and computer engineering; Muhammad A. Alam, the Jai N. Gupta Professor of Electrical and Computer Engineering; and Cheng.

Raman spectroscopy was performed by the Purdue Department of Physics and Astronomy. Findings showed the graphene sheathing protected the nanowires even while being subjected to 2.5 megawatts of energy intensity per square centimeter from a high-energy laser, which vaporizes the unwrapped wires. The unwrapped wires were damaged with an energy intensity as little as .8 megawatts per square centimeter. (The paper is available at http://pubs.acs.org/doi/abs/10.1021/acsnano.5b04628.)

“It appears the graphene coating extracts and spreads thermal energy away from the nanowires,” Das said. The graphene also helps to prevent moisture damage.

The research is a continuation of previous findings published in 2013 and detailed in this paper: http://onlinelibrary.wiley.com/doi/10.1002/adfm.201300124/full. The work is ongoing and is supported by the National Science Foundation and a National Research Council Senior Research Associateship.

November 2, 2015 — Tsinghua Unigroup Ltd., a Chinese government-owned chipmaker will make a $600 million investment in Powertech Technology of Taiwan, according to multiple reports. Powertech Technology, which specializes in chip packaging and testing, will hand over 25% of the company in exchange, after new shares are issued.

According to the Wall Street Journal, Powertech will use the funds to “help it expand its assembly capacity in Taiwan, develop advanced production processes and recruit talent. It would also become Tsinghua Unigroup’s major chip assembly and testing partner.”

Tsinghua is the largest chip design company in China, and earlier this year attempted to acquire Micron Technology with a $23 billion bid. That bid ultimately failed, but it hasn’t stopped Tsinghua from investing in other US companies in the industry, including Western Digital ($3.78 billion for 15%) and Hewlett-Packard’s data-networking business (51%, $2.3 billion).

This continues the unprecedented consolidation that has come to the semiconductor industry in 2015. A trend that has shown no signs of slowing as we enter 2016.

##

To help readers follow this constantly changing situation, Solid State Technology is keeping a running scorecard of all the significant transactions in the semiconductor market here: Historic era of consolidation for chipmakers.

 

 

Electrons are so 20th century. In the 21st century, photonic devices, which use light to transport large amounts of information quickly, will enhance or even replace the electronic devices that are ubiquitous in our lives today. But there’s a step needed before optical connections can be integrated into telecommunications systems and computers: researchers need to make it easier to manipulate light at the nanoscale.

Researchers at the Harvard John A. Paulson School of Engineering and Applied Sciences (SEAS) have done just that, designing the first on-chip metamaterial with a refractive index of zero, meaning that the phase of light can travel infinitely fast.

In this zero-index material there is no phase advance, instead it creates a constant phase, stretching out in infinitely long wavelengths. (Credit: Peter Allen, Harvard SEAS)

In this zero-index material there is no phase advance, instead it creates a constant phase, stretching out in infinitely long wavelengths. (Credit: Peter Allen, Harvard SEAS)

This new metamaterial was developed in the lab of Eric Mazur, the Balkanski Professor of Physics and Applied Physics and Area Dean for Applied Physics at SEAS, and is described in the journal Nature Photonics.

“Light doesn’t typically like to be squeezed or manipulated but this metamaterial permits you to manipulate light from one chip to another, to squeeze, bend, twist and reduce diameter of a beam from the macroscale to the nanoscale,” said Mazur. “It’s a remarkable new way to manipulate light.”

Although this infinitely high velocity sounds like it breaks the rule of relativity, it doesn’t. Nothing in the universe travels faster than light carrying information — Einstein is still right about that. But light has another speed, measured by how fast the crests of a wavelength move, known as phase velocity. This speed of light increases or decreases depending on the material it’s moving through.

When light passes through water, for example, its phase velocity is reduced as its wavelengths get squished together. Once it exits the water, its phase velocity increases again as its wavelength elongates. How much the crests of a light wave slow down in a material is expressed as a ratio called the refraction index — the higher the index, the more the material interferes with the propagation of the wave crests of light. Water, for example, has a refraction index of about 1.3.

When the refraction index is reduced to zero, really weird and interesting things start to happen.

In a zero-index material, there is no phase advance, meaning light no longer behaves as a moving wave, traveling through space in a series of crests and troughs. Instead, the zero-index material creates a constant phase — all crests or all troughs — stretching out in infinitely long wavelengths.  The crests and troughs oscillate only as a variable of time, not space.

This uniform phase allows the light to be stretched or squished, twisted or turned, without losing energy. A zero-index material that fits on a chip could have exciting applications, especially in the world of quantum computing.

“Integrated photonic circuits are hampered by weak and inefficient optical energy confinement in standard silicon waveguides,” said Yang Li, a postdoctoral fellow in the Mazur Group and first author on the paper. “This zero-index metamaterial offers a solution for the confinement of electromagnetic energy in different waveguide configurations because its high internal phase velocity produces full transmission, regardless of how the material is configured.”

The metamaterial consists of silicon pillar arrays embedded in a polymer matrix and clad in gold film. It can couple to silicon waveguides to interface with standard integrated photonic components and chips.

“In quantum optics, the lack of phase advance would allow quantum emitters in a zero-index cavity or waveguide to emit photons which are always in phase with one another,” said Philip Munoz, a graduate student in the Mazur lab and co-author on the paper.  “It could also improve entanglement between quantum bits, as incoming waves of light are effectively spread out and infinitely long, enabling even distant particles to be entangled.”

“This on-chip metamaterial opens the door to exploring the physics of zero index and its applications in integrated optics,” said Mazur.

The paper was co-authored by Shota Kita, Orad Reshef, Daryl I. Vulis, Mei Yin and Marko Loncar, the Tiantsai Lin Professor of Electrical Engineering.

Lam Research Corporation (LRCX) and KLA-Tencor Corporation (KLAC) today announced that they have entered into a definitive agreement for Lam Research to acquire all outstanding KLA-Tencor shares in a cash and stock transaction. The move, unanimously approved by the boards of directors of both companies, will create a combined company with approximately $8.7 billion in pro forma annual revenue.

The combined company expects to realize $250 million in cost savings within 18 to 24 months of closing, and anticipates gaining approximately $600 million in incremental revenue by 2020 through improved differentiation of each company’s products and creation of new capabilities.

“This is just what the doctor ordered,” Srini Sundararajan, Semiconductor and Semicaps Analyst for W.R. Hambrecht + Co./Summit Research, wrote in an analysis of the move. “It removes excessive dependence of LRCX on memory and excessive dependence of KLAC on foundry/logic.”

According to the LRCX press release, “the combination will create unmatched capability in process and process control, delivering optimized results in partnership with its customers by reducing variability and accelerating yield, ultimately helping the semiconductor industry extend Moore’s Law and performance scaling generally.”

“The pairing of Lam Research and KLA-Tencor brings industry leadership in process and process control together, accelerating our capability to address our customers’ most difficult challenges as they scale to meet the market demands of lower power, higher performance, and smaller form factors,” said Martin Anstice, Lam’s president and chief executive officer. “Lam Research and KLA-Tencor’s shared commitment to collaboration and building strong customer trust, along with our respective track records of innovation, product leadership, and operational excellence, position us as a combined company to deliver the higher levels of technology differentiation and speed to solutions that are critical to our customers’ long-term success.”

“I strongly believe that this transaction represents a great outcome for all of KLA-Tencor’s key stakeholders,” said Rick Wallace, president and chief executive officer of KLA-Tencor. “The combined company will be uniquely positioned to work collaboratively with our customers to help them meet the challenges of FinFET, multi-patterning and 3D NAND development.  Given the complementary nature of the two companies’ product offerings and technologies as well as the lack of product overlap, the combination will create an industry leader with greater opportunities for our respective employees for professional development and growth. Lastly, this transaction will benefit our stockholders who will receive compelling upfront value, in addition to the opportunity to own a meaningful stake in an industry leader and participate in the upside potential created by the combination.”

According to the press release, the transaction is expected to close in mid-calendar year 2016, pending customary regulatory approvals. The transaction is also subject to customary closing conditions, including the adoption by KLA-Tencor’s stockholders of the merger agreement and the approval by Lam Research’s stockholders of the issuance of shares in the transaction. Given their complementary product lines and the industry benefits the transaction will enable, the companies believe that they will be able to obtain the requisite regulatory approvals on a timely basis.

Analyst Sundararajan agrees: “We expect minimal opposition to this deal from the various jurisdictions, rather easily handled.”

However, Robert Maire of Semiconductor Advisors thinks approval could potentially be more difficult. “We think this is going to be the obvious biggest issue after the failed AMAT & TEL merger.  We think there will likely be opposition in the semi industry but probably less so than we heard the screaming related to AMAT/TEL,” he wrote. “While maybe not overjoyed, the combination makes a lot of sense for customers and feels a lot less negative than the failed AMAT/TEL.”

According to the press release, some of the benefits the combined company expects to see are:

  • Creates Premier Semiconductor Capital Equipment Company: Strengthened platform for continued outperformance, combining Lam’s best-in-class capabilities in deposition, etch, and clean with KLA-Tencor’s leadership in inspection and metrology
  • Accelerated Innovation: Increased opportunity and capability to address customers’ escalating technical and economic challenges
  • Broadened Market Relevance: Comprehensive and complementary presence across market segments provides diversity, scale and value creating innovation opportunities
  • Significant Cost and Revenue Synergies: Approximately $250 million in expected annual on-going pre-tax cost synergies within 18-24 months of closing the transaction, and $600 million in annual revenue synergies by 2020
  • Accretive Transaction: Increased non-GAAP EPS and free cash flow per share during the first 12 months post-closing
  • Strong Cash Flow: Complementary memory and logic customer base, operational strength, and meaningful installed base revenues strengthen cash generation capability

According to Sundararajan, the move could have negative impacts for some other companies in the industry. “This deal is quite negative for Applied Materials (AMAT) and Hermes Microvision and perhaps for ASML also,” he wrote. “In the case of AMAT, their process diagnostics and control division being based in Israel does not allow of meshing of capabilities, and product synergies really don’t exist.  In the case of Hermes Microvision, since etch is the pre-dominant user of e-beam inspection due to testing of contacts, a combination of KLAC and LRCX with both e-beam and etch capabilities can be lethal.”

Maire also foresees difficulties for competitors: “The combined LAM and KLA creates a powerhouse in the semicap industry, which is looking a lot more like a duopoly.”

Lam president and CEO Anstice concluded, “We have tremendous respect for the company KLA-Tencor employees have built over nearly 40 years — their culture, technology, and operating practices. I have no doubt that our combined values, focus on the customer, and complementary technologies will create a trusted leader in our industry, capable of creating significant opportunity for profitable growth and in turn delivering tremendous value to all of our stakeholders. This is the right time for the right combination in our industry.”

Slideshow: 2015 IEDM Preview


October 20, 2015
The 2015 IEDM Conference will be held in Washington DC.

The 2015 IEDM will be held in Washington DC.

This year marks the 61st annual IEEE International Electron Devices Meeting (IEDM). It is arguably the world’s pre-eminent forum for reporting technological breakthroughs in semiconductor and electronic device technology, design, manufacturing, physics, and modeling. The conference focuses not only on devices in silicon, compound and organic semiconductors, but also in emerging material systems.

As usual, Solid State Technology will be reporting insights from bloggers and industry partners during the conference. This slideshow provides an advance look at some of the most newsworthy topics and papers that will be presented at this year’s meeting, which will be held at the Washington, D.C. Hilton from December 7-9, 2015.

Click here to start the slideshow

Check back here for more articles and information about IEDM 2015:

Helpful conference links: