Tag Archives: Top Story Left

August 13, 2012 — In 2011, light emitting diodes (LEDs) were expected to grab market share from cold cathode fluorescent lamps (CCFLs) in the display backlighting segment. However, prices for CCFL-backlight TVs fell alongside prices for LED-backlit TVs, and consumers preferred lower-cost models. Now, CCFL raw materials costs have exploded, setting the stage for market share grabs by LEDs, albeit later than expected, reports Jimmy Kim, DisplaySearch.

Rare-earth metals, the main raw material for CCFL phosphors, saw 5-10x higher prices from 2010 to 2011. As a result, the price of phosphor also jumped, rising to about 6x the price in 2010.

Figure 1. Prices for rare earth metals and phosphors for CCFL.

This price increase could lead to a scale-down of CCFL production and a lower utilization rate, which will push CCFL unit prices higher, further closing the price gap with LED units.

In 2011, most Japanese CCFL makers had already shed the CCFL business, seeing lost cost competitiveness. Korean and Chinese CCFL makers took the opportunity to fill higher-than-expected CCFL demand. The large scale production enabled by the concentrated purchase orders helped them hold the CCFL unit price stable, even under the increasing raw materials cost.

During 2012, the market situation grew worse for CCFL makers. TV makers introduced new low-cost direct LED-backlit TVs for the entry TV market segment. They plan to increase their sales allocation to these new products, which will lead to a further decrease in demand for CCFL. CCFL panel shipments are expected to decrease more than 40% Y/Y after Q2 2012. In 2011, the decrease was 30% Y/Y. This means that the scale-down and lower utilization rate for CCFL production seems inevitable this year.

Figure 2. CCFL panel shipments.

CCFL prices for some new models increased in Q2. Regardless, there have been almost no changes in CCFL prices for running models. The decrease in CCFL demand caused by the low-cost direct backlight TVs has led to a rise of CCFL unit price. This will probably lead to a further decrease in CCFL demand. We also expect that the EOL of CCFL-backlit LCD TVs will be accelerated.

This full article was published by Jimmy Kim in the DisplaySearch Monitor, August 2012. Learn more about DisplaySearch reports and more at www.displaysearch.com.

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

August 10, 2012 — Laser nanofabrication can now meet the needs of submicron and nanoscale feature size manufacturing, and can operate in air, vacuum, or liquid processes. Sister publication Industrial Laser Solutions recently published Laser nanofabrication: A route toward next-generation mass production, by professors at the Singapore University of Technology and Design and National University of Singapore.

The article describes laser-based manufacturing processes being used for sub-20nm industrial fabrication, including on silicon substrates.

These manufacturing techniques could enable maskless semiconductor patterning or new micro electro mechanical system (MEMS) designs, as well as a lower-cost method to form through-silicon vias (TSVs) and interposers for advanced packaging.

Figure. a) Metallic nano-dot array being embedded in a silicon substrate. b) Nano-pillar array fabricated by laser interference lithography (LIL).

Check out the article at http://online.qmags.com/ILS0712/#pg21&mode2

Karen Savala, president, SEMI Americas

August 10, 2012 — This year at the SEMICON West press conference, I presented on “Supply Chain Readiness in an Era of Accelerated Change” and I’d like to summarize that presentation for you.  The talk centered on the increasing capital and technology requirements of advanced semiconductor production and the pressures this creates on the supply chain. The structure of the industry is rapidly changing — and how it will respond to the simultaneous challenges of Moore’s Law scaling, 450mm wafer production, 3D-ICs, and industry consolidation is very much unknown.   Much of this uncertainty is reflected in what we call “supply chain readiness.”

Never before has the industry faced greater economic and technological uncertainty. The industry is consolidating, with fewer leading edge chip makers and fewer leading edge suppliers.  The technical challenges are increasing as geometric scaling and Moore’s Law now must be accomplished with rising process engineering complexity — particularly in the areas of EUV lithography, 3D-IC chip packages and 450mm wafers.

The economic and technical challenges of today’s environment will have an impact on supply chain readiness.  In the past, the size and scope of the industry supported a vibrant supply chain of start-ups, innovators at the leading edge, brilliant fast-followers, and a variety of technology and process specialists. 

Today, the supply chain is dominated by several large OEM companies who rely upon a global ecosystem of technology subsystem and component firms.  As process engineering becomes more complex at leading-edge nodes, the readiness of the supply chain to deliver advanced, integrated solutions becomes less certain.

 

EUV Lithography

Photolithography systems are among the most complex and expensive machines on the planet.  They are also the most important tool to maintain the pace of Moore’s Law.  From advanced light sources from Cymer to highly engineered optics and lenses from Carl Zeiss, approximately 90% of an ASML lithography system comes from external suppliers. EUV systems are currently shipping, but as you know, they do not meet the required wafers-per-hour throughout for high-volume production.  Consequently, EUV is being deployed in conjunction with immersion lithography, directed assembly and other options. The node at which EUV fully enters mass production is still uncertain — certainly below 20nm, perhaps at the 16 nm node, possibly at 8nm.

To alleviate some of this uncertainty, both Intel and TSMC have made significant investments in ASML to support EUV development and help accelerate the introduction of 450mm systems.  While this massive infusion of cash will assure a common mission between these key industry players, how it will impact next generation mask infrastructure has yet to be seen.

In mask readiness, EUV mask blanks are an order of magnitude more complex than today’s conventional mask blanks.  Spectacular work has been accomplished to improve yield and reduce defects on these new systems.

Today, according to SEMATECH, mask performance is sufficient to meet the needs of memory, but still short on meeting the requirements for logic.  More importantly, as this chart shows, you’ll see that a significant gap between EUV mask blank demand and supply capacity currently exists.  Uncertain EUV insertion will make investment difficult for suppliers to address this capacity shortfall before full production is assured.  This uncertainty may also threaten production volume availability for EUV resists.

 

 

3D-IC

3D-IC is another area of dramatic and uncertain change lies in the area of 3D-IC stacked chips.  Given their potential for smaller form factors, increased performance, and reduced cost and power consumption, 3D-IC technologies are now enabling the next generation of advanced semiconductor packaging.  Already, 2.5D approaches using silicon interposers to provide wide IO bandwidth and denser packaging have been introduced, but many manufacturing and collaboration barriers remain before widespread commercialization. 

3D integration using through-silicon vias promise a fundamental shift for current multi-chip integration and packaging approaches.  But cost-effective, high-volume manufacturing will be difficult to achieve without standardized equipment, mat䁥rials, and processes.

With many advanced packaging processes taking place on the semiconductor wafer, the traditional supply chain of “front-end fab at the foundry” and “back-end fab at the packaging and test house” is at risk of falling apart. TSMC has been clear about their vision. They want an   expanded role in the industry to implement — not just wafer foundry services — but 3D integration as well, including thinning, bumping and assembly.

While the business models sort themselves out, there remain technology challenges and process flow uncertainty.  Chips-on-substrate, chips-on-wafer and chip-on-chip all remain viable options. 

Currently, there are no collaboration models to solve this foundry-OSAT-IDM and fabless chip matrix for complex, multi-chip packages.  SEMI standards are addressing many supply chain, equipment and materials issues. However, market demand and business models must continue to sort themselves out before 3D chip stacking can widely penetrate the industry.

 

450mm Wafer Transition

The most expensive semiconductor industry technology transition in history will occur with the transition to 450mm wafers.  R&D costs alone are estimated to rise between $8 and $40 billion, depending on the efficiency with which the transition is coordinated.  The high end of this estimate represents a level of investment that is equivalent to what the entire industry spent on advanced process development over the past five years.  These costs will be incurred concurrently with other major technical challenges in the industry, including the move to 3D transistor structures, and EUV and 3D stacked chips already mentioned. The recent investments in ASML by Intel and TSMC reflect just how much the industry will be changed by 450mm development requirements. 

Currently, the Global 450 Consortium, or G450C, with members from Intel, IBM, Global Foundries, TSMC, and Samsung, is in the process of constructing and equipping a 450 pilot line in New York.  G450C has said that it expects the line to complete by mid- 2013 to early 2014. The business model to equip this pilot line is unlike anything we’ve seen before — in this industry or elsewhere!  The pilot line will feature approximately 50 tool types, most if not all, from no more than two vendors.  Performance data from this pilot line will be used to qualify equipment purchases for high-volume production equipment.  To many, it is clear that to participate in future 450mm production, equipment suppliers must participate in the pilot line.

However, not all vendors are being asked to participate, and for those that do, the terms for participation in the pilot line are daunting.  How the industry will pay for and recover the massive R&D cost has not been resolved.  Suppliers must weigh a decision to participate in pilot line development in conjunction with the possibility of not being qualified for production equipment orders from the world’s top chip manufacturers.  The timing and quantity of these of these potential future orders are also not known. 

These are difficult and complicated negotiations and decisions for the industry’s leading OEMs.  They are even more complicated and difficult for the remainder of the supply chain. 

While our leading equipment suppliers must sell products and services to chip manufacturers, many of the component and subsystem suppliers do not; they often serve multiple industries. 

As the current collaboration model unfolds for 450mm development, its impact on a variety of technology suppliers — many of them exhibitors at SEMICON West — is uncertain. Approximately 90% of ASML’s components and subsystems are provided by outside suppliers.  Another example, Applied Materials is dependent on 800 suppliers worldwide, with 75 prime strategic suppliers representing 80 percent of their annual procurement allocation. 

On the transition of the industry to 450mm wafers — it is certain that the impact on the supply chain will be disruptive and significant. While it appears that G450C may be the primary path of coordination for the scale-up of wafer process tools, it is the OEMs that will be coordinating a complex multi-layered supply chain of component and sub-assembly providers.  At SEMICON West for the first time, the major process tool makers communicated requirements and expectations to the larger group of supply chain participants that may not have direct access to the consortia pilot line.

 

SEMICON West 2012

At SEMICON West, the most knowledgeable and authoritative voices in the industry discussed these tough issues.  Our objective is advance the dialog — to convey useful information to our attendees — and to serve as a platform for productive collaboration on these and other industry issues.  All of the events at SEMICON West (keynotes, partner events, TechXPOTs, and technical presentations) allow key industry stakeholders to discuss where it makes sense to collaborate — and where it’s best to compete.

 

Please let me know if you have comments or questions at [email protected].

 

Karen Savala

SEMI

www.semi.org

August 9, 2012 — AIXTRON launched the PRODOS-200 PVPD system for deposition of organic thin film materials, used to make organic thin-film transistor (OTFT) displays, organic light-emitting diodes (OLEDs) and other manufacturing applications.

The system supports research on new deposition processes for polymer thin films and easy transfer to industrial processes with high deposition rates, high contour conformity of the deposited layers, and unrestricted scalability based on AIXTRON’s Close Coupled Showerhead technology.

AIXTRON expects adopters to develop new conductive and flexible layers, manipulate surface properties, and create flexible barrier layers, as well as improving today’s deposition processes and structures. The PRODOS line is designed to be modular and expandable for source materials in liquid, gaseous, or solid forms. It supports various PVPD processes, or all-dry processes, in which the carrier gas-based, gas phase deposition is used for the in-situ polymerization and layer formation of functional polymer thin films.

The tools accommodate substrates up to 200mm2. They can be integrated into cluster environments by means of relevant SEMI-compatible interfaces and are compatible with other AIXTRON systems, such as the OVPD* R&D line. The double-wall-chamber construction makes the system eases maintenance and enables fast modifications.

AIXTRON also recently announced that its BM II (2-inch) system is being used for research on depositing carbon nanotube (CNT) arrays for 3D devices, such as nano-antennas and nano-rectifiers by Daegu Gyeongbuk Institute of Science & Technology (DGIST) in South Korea.

*OVPD technology has been exclusively licensed to AIXTRON from Universal Display Corporation (UDC) for equipment manufacture. OVPD technology is based on an invention by Professor Stephen R. Forrest et al. at Princeton University, which was exclusively licensed to UDC. AIXTRON and UDC have jointly developed and qualified OVPD pre-production equipment.

AIXTRON provides MOCVD production technologies for semiconductor devices, such as LEDs, lasers, transistors and solar cells. For further information on AIXTRON (FSE: AIXA, ISIN DE000A0WMPJ6, DE000A1MMEF7; NASDAQ: AIXG, ISIN US0096061041), see www.aixtron.com.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

August 9, 2012 — Heavy monsoons moving through the Philippines are causing floods in and around Manila, the capital. Days of flooding have caused at least 19 deaths, according to CNN, and nearly 2 million people are being affected.

The Philippines is a small but growing area for microelectronics manufacturing and packaging facilities. Amkor (AMKR) has over 1.3 million square feet of manufacturing space there, and On Semiconductor (ONNN) operates 2 manufacturing campuses in the Philippines and 1 design center.

The flooding has had no effect on Amkor’s Philippines operations, said the company’s corporate communications representative, noting that some minor transportation issues were the full extent of the impact in their area.

The Philippines is also home to the Bruce Institute of Technology (BIT) microelectronics and storage system training institute for the Philippines, founded by BiTMICRO.

Already, Toshiba Group has said it will make a donation equivalent to 10 million yen to assist relief efforts in the region. Toshiba Information Equipment Philippines, Inc. (TIP), a Toshiba group company in the Philippines, is located in Laguna province, where a large number of evacuation centers have been set up. TIP has delivered about 2,000 bags of relief supplies, containing rice, canned food, water, etc., to evacuees in vicinal community.

As the results of the natural disaster become known for the microelectronics manufacturing industry, we will publish updates on specific companies

August 7, 2012 — Brown University developed a simpler, cheaper, solution-based manufacturing process for indium tin oxide (ITO) conductive films used in displays and solar cell manufacturing.

Brown researchers, with support from ATMI Inc., reported the best-ever transparency and conductivity performance for an ITO made using a chemical solution.

 

Figure. Electron microscopy (cross-section, left, and facing view) shows an even distribution of indium titanium oxide nanocrystals essential for a highly conductive, transparent thin film. Credit: Sun Lab/Brown University.

The ITO offers high enough performance for applications in resistive touch screens, said Jonghun Lee, a Brown chemistry graduate student. The films allow 93% of light to pass through, deposited at 146nm thick. Their transparency is comparable to the glass substrate.

The team also made their films on top of bendable polyimide, showing that it could potentially be useful for making flexible display technologies.

To make the films, the team synthesized nanoscale ITO crystals in a solution. Then they made a flat and smooth film by spin casting the solution on a glass plate. Coated plates were then annealed for several hours (ideal anneal time was 6 hours) and then tested their transparency and conductivity.

The materials research was key to enable the simple spin-casting assembly method, said Shouheng Sun, professor of chemistry at Brown. The best chemicals turned out to be indium acetylacetonate and tin bis(acetylacetonate)dichloride. Researchers synthesized ITO nanocrystals that had a narrow range of sizes, about 11nm in diameter. That consistency meant that when the crystals arranged themselves in the thin films, they neither bunched together in clumps, nor stayed too far apart. The result was a dense but evenly distributed array of crystals, which promotes conductivity.

By varying the thickness and the tin content (between 5 and 10%), researchers varied the transparency and resistance for the best results. The key to a smooth, consistent film was the uniform size of ITO nanocrystals. The researchers settled on a diameter of around 11nm. “By controlling the concentration of the nanocrystal solution, we could control the thickness of the film from 30 to 140nm,” Lee said.

The team will now work on matching the conductivity performance of films made by sputtering, while maintaining the cost and process efficiency benefits of solution-based deposition, according to Melissa Petruska, senior scientist at ATMI.

In new experiments, the team plans to further drive down electrical resistance, to reduce the length of time the films need to anneal, and to lay down fine patterns of their films, rather than continuous sheets, using inkjet or roll-to-roll printing.

Results are published in a paper posted online Aug. 1 by the Journal of the American Chemical Society. In addition to Sun and Lee, the other Brown authors are Sunghwan Lee, Guanglai Li and David Paine. Petruska is a co-author on the paper. ATMI provided project funding and engineering assistance for the research.

Learn more at www.brown.edu.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

 

August 8, 2012 — Henkel Electronic Materials developed a new underfill system designed to reduce flip chip package stress by controlling die and substrate warpage, LOCTITE ECCOBOND UF 8840.

Flip chip silicon die and package substrates have different coefficients of thermal expansion (CTE). Flip chip die are increasingly thinner, which can lead to warpage during thermal processing. Upward (smiling) and downward (crying) flip chip warpage can reduce package reliability.

LOCTITE  ECCOBOND UF 8840 is compatible with various flux systems, has minimum resin bleed out, is compatible with needle dispensing and non-contact dispensing and has a wide dispense process window. LOCTITE ECCOBOND UF 8840, has been formulated to flow consistently with no voids on flip chip die up to 15mm2. It can be used with a variety of die passivations.

Henkel tested the underfill against competitive materials, reporting less warpage and lower stress. The underfill delivered <80

August 7, 2012 — The biggest change in the DRAM industry in 2012 has been Micron Technology Inc.’s (MU) acquisition of Elpida Memory Inc. One key asset for Micron is mobile DRAM, which is growing in adoption thanks to integration into smartphones and media tablets.

Mobile DRAM is a low-power dynamic random-access memory (DRAM) variant currently preferred for use in mobile applications. Mobile DRAM is set to hit a record $6.56 billion in revenue this year, up 10% from $5.98 billion in 2011, according to an IHS iSuppli Mobile & Embedded Memory Market Brief. This compares to 3% revenue growth for standard DRAM.

Figure. Average mobile DRAM density in cellphones and tablets (Gigabits). SOURCE: Results of teardowns conducted by IHS iSuppli Teardown Analysis Service.

 

Q2 ’10

Q3 ’11

Q2 ’12

Smartphones

2.28

5.09

5.85

Tablets

2.00

7.44

8.33

“Mobile operating systems, streaming apps and games require more memory to handle sophisticated tasks,” said Ryan Chien, analyst for memory & storage at IHS. “Crucial features like multitasking, media decoding and decompression, data synchronization and background operations are all driving DRAM needs.”

Dissections conducted by the IHS iSuppli Teardown Analysis Service also confirm a stunning rise in average densities of mobile DRAM in wireless devices. Mobile DRAM density in smartphones, for instance, jumped from 2.28Gb in Q2 2010 to 5.85Gb in Q2 2012. The expansion is even greater in tablets, with the mobile DRAM average density soaring fourfold during the same period from 2.00Gb to 8.33Gb.

PC sales are lagging behind handsets and tablets, which has caused standard DRAM to fall to weak revenue growth and average selling prices (ASPs). While mobile DRAM ASPs have been falling over time in line with the overall memory space, prices remain relatively firm for mobile DRAM chips because of high demand, a smaller supply base, and healthy density growth.

IHS comments that both Micron and Elpida earned similar DRAM revenue in Q1 2012: $759 million for Micron and $780 for Elpida. However, mobile DRAM revenues at Elpida nearly doubled those at Micron, $218 million compared to $106 million. Analysts widely agreed that mobile DRAM fabrication assets were some of the most valuable acquisitions for Micron in the deal. Chien calls it “the most important factor” in Micron’s purchase, giving it an edge against Samsung Electronics and SK Hynix.

 “Despite its financial ruin, Elpida in the first quarter had an outsized portion — nearly 20% market share — of the total mobile DRAM industry revenue of $1.8 billion,” Chien said.

Mobile DRAM will continue to grow, given its power efficiency and increasing affordability. Devices will also become more efficient, enabling higher system-level performance. Ultrathin computers will be another major market for mobile DRAM.

IHS (NYSE: IHS) is the leading source of information and insight in critical areas that shape today’s business landscape, including energy and power; design and supply chain; defense, risk and security; environmental, health and safety (EHS) and sustainability; country and industry forecasting; and commodities, pricing and cost. For more information, visit www.ihs.com.

Visit the Semiconductors Channel of Solid State Technology!

Agilent


August 7, 2012

August 7, 2012 – BUSINESS WIRE — Agilent Technologies Inc. (NYSE: A) debuted its compliance test application, Agilent N6462A DDR4, for systems using double-data-rate 4 (DDR4) memory.

The test application helps memory design engineers accelerate turn-on and debug of DDR4 systems by automating the execution of physical layer tests, including the new data jitter measurements on Agilent Infiniium 9000, 90000A, 90000 X- and 90000 Q-Series oscilloscopes.

Also read: Performance enhancements for multi-die DRAM packages

The Agilent N6462A DDR4 compliance test application is designed for engineers who work on high-end computing applications. The application provides automated clock, electrical and timing tests. It automatically configures the oscilloscope for each test and generates an HTML report at the end of the test. The report compares the results with the specified test limit and indicates how closely the device passes or fails each test.

Engineers can easily debug signal integrity issues using the N6462A software in conjunction with the new InfiniiScan multichannel and multizone triggering features to successfully separate, read and write data. This unique InfiniiScan feature is available only with Agilent

August 6, 2012 — The global market for public displays is strong, but in recent quarters, flat panel display (FPD) manufacturers have emphasized revenue and profit over volume, thus lowering the overall unit shipment outlook for this category. As production of plasma displays winds down, LCD-based commercial displays have yet to fully fill the void. With reduced production of plasma, the public display market fell 13% year over year (Y/Y). However, LCD public display shipments were up 23% Y/Y, according to the NPD DisplaySearch Quarterly FPD Public Display Shipment and Forecast Report.

Forecasts still show strong growth, with the market set to push near 12 million units sold in 2018, an increase from just under 3 million units in 2011. Growth will be driven by new applications for public displays such as digital signage, interactive white boards, video walls, electronic menu boards, as well as the global trend toward urbanization in developing countries seen most visibly in China.

Figure 1. Worldwide LCD commercial public display shipments and forecast. SOURCE: NPD DisplaySearch Quarterly FPD Public Display Shipment and Forecast Report.

The advantage that plasma once had over LCD—larger displays for less money—has been disappearing over the years. Today, vendors such as Sharp are able to produce 60” and larger LCDs at less cost. Plasma had been able to capture some of the lower-end demand for public displays with that demand also being fulfilled by lower-priced consumer-TV displays. Leading vendors of commercial public displays, such as Samsung and NEC, are now focusing on 40” and larger sizes, conceding that the demand for medium-sized (26” to 39”) digital signage can be fulfilled by TV-grade products

In recent years, LCD manufacturers have been better able to differentiate their larger size displays (40” and larger) from similarly-sized consumer TV products with technologies such as thinner bezels, which allow for better video wall installations. Backlight technologies, such as LED, also offer unique solutions, allowing for not only thin displays, but also for higher brightness, which is needed by many commercial applications to perform in various ambient light conditions.

“This focus on higher-margin, larger-size displays is welcoming news—not just for display brands but for their global integrators alike. With a focus on technologies that have relevance to specific end-market needs, other players in the digital signage ecosystem also benefit by avoiding price comparisons against commoditized TVs,” noted Chris Connery, NPD DisplaySearch VP of PC and large-format commercial displays.

While the use of TVs in commercial environments is not always apparent, NPD DisplaySearch’s sell-through research with top commercial distributors and resellers indicates vendors that offer hybrid products that are a mix of commercial displays and consumer TVs. Detailed US commercial sell-through data shows that hybrid displays grew 85% Y/Y in Q1’12, outpacing pure commercial displays at only 5% Y/Y.

Connery added, “While the total market size for public displays is not shrinking, more displays now fall into different categories, which can be documented in new ways, such as when consumer TVs are used for commercial applications.”

Figure 2. US distributor & reseller sell-through of large-format commercial (26”+) LCDs by category. SOURCE: NPD DisplaySearch Monthly Large Format Commercial Displays Sell Through Report.

 

The NPD DisplaySearch Quarterly FPD Public Display Shipment and Forecast Report analyzes historical shipments, revenues, and forecast projections to provide an accurate, detailed view of the public display market. NPD DisplaySearch has been recognized as a leading global market research and consulting firm specializing in the display supply chain, as well as the emerging photovoltaic/solar cell industries. For more information on DisplaySearch analysts, reports, and industry events, visit http://www.displaysearch.com/.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!