Tag Archives: Top Story Right

Worldwide silicon wafer area shipments increased during the second quarter 2015 when compared to first quarter area shipments according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

Total silicon wafer area shipments were 2,702 million square inches during the most recent quarter, a 2.5 percent increase from the 2,637 million square inches shipped during the previous quarter resulting in a new quarterly volume shipment record. New quarterly total area shipments are 4.4 percent higher than second quarter 2014 shipments. First half 2015 shipments are 7.8 percent higher than the first half of 2014.

“For two consecutive quarters, strong silicon shipment growth has been recorded by the Silicon Manufacturers Group,” said Ginji Yada, chairman of SEMI SMG and general manager, International Sales & Marketing Department of SUMCO Corporation. “Continued growth off of the record level shipped in the first quarter, produced another record level of shipments in the most recent quarter.”

Quarterly Silicon* Area Shipment Trends

 Million Square Inches

 

 Q2-2014

 

 Q1-2015  Q2-2015  1H-2014  1H-2015
Total

 

2,587 2,637 2,702 4,951 5,339

*Shipments are for semiconductor applications only and do not include solar applications

Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin round disks are produced in various diameters (from one inch to 12 inches) and serve as the substrate material on which most semiconductor devices or “chips” are fabricated.

All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers and epitaxial silicon wafers, as well as non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

The Silicon Manufacturers Group acts as an independent special interest group within the SEMI structure and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi, etc.). The purpose of the group is to facilitate collective efforts on issues related to the silicon industry including the development of market information and statistics about the silicon industry and the semiconductor market.  For more information on SEMI, visit www.semi.org.

Toshiba Corporation today announced the development of the world’s first 16-die (max.) stacked NAND flash memory utilizing Through Silicon Via (TSV) technology. The prototype will be shown at Flash Memory Summit 2015, to be held from August 11 to 13 in Santa Clara, USA.

16-die Stacked NAND Flash Memory with TSV Technology (Photo: Businesswire)

16-die Stacked NAND Flash Memory with TSV Technology (Photo: Business Wire)

The prior art of stacked NAND flash memories are connected together with wire bonding in a package. TSV technology instead utilizes the vertical electrodes and vias to pass through the silicon dies for the connection. This enables high speed data input and output, and reduces power consumption.

Toshiba’s TSV technology achieves an I/O data rate of over 1Gbps which is higher than any other NAND flash memories with a low voltage supply: 1.8V to the core circuits and 1.2V to the I/O circuits and approximately 50%*2 power reduction of write operations, read operations, and I/O data transfers.

NAND Flash Memory with TSV Technology (Graphic: Business Wire)

NAND Flash Memory with TSV Technology (Graphic: Business Wire)

This new NAND flash memory provides the ideal solution for low latency, high bandwidth and high IOPS/Watt in flash storage applications, including high-end enterprise SSD.

A part of this applied technology was developed by the New Energy and Industrial Technology Development Organization (NEDO).

The Semiconductor Industry Association (SIA) today announced worldwide sales of semiconductors reached $84.0 billion during the second quarter of 2015, an increase of 1.0 percent over the previous quarter and 2.0 percent compared to the second quarter of 2014. Global sales for the month of June 2015 reached $28.0 billion, an uptick of 2.0 percent over the June 2014 total of $27.4 billion and a decrease of 0.4 percent from last month’s total of $28.1 billion. Year-to-date sales during the first half of 2015 were 3.9 percent higher than they were at the same point in 2014. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“Macroeconomic headwinds and softening demand have slowed global semiconductor market growth somewhat, but the industry still posted its highest-ever second-quarter sales and remains ahead of the pace of sales set in 2014, which was a record year for semiconductor revenues,” said John Neuffer, president and CEO, Semiconductor Industry Association. “The Americas market continues to post solid year-to-year sales increases, and the global market has now grown on a year-to-year basis for 26 consecutive months.”

Regionally, sales increased compared to June 2014 in China (7.8 percent), the Americas (5.6 percent), and Asia Pacific/All Other (5.2 percent), but fell in Europe (-11.5 percent) and Japan (-13.6 percent). Sales were up slightly compared to last month in Japan (1.0 percent) and China (0.6 percent), but down somewhat in Asia Pacific/All Other (-0.6 percent), the Americas (-1.6 percent), and Europe (-1.7 percent). Sales figures in Europeand Japan have been impacted somewhat by currency devaluation.

“Global semiconductor sales are one indicator of the strength of the U.S. industry, which accounts for more than half of total global sales,” Neuffer said. “Policymakers in Washington should enact policies that do more to promote innovation and allow our industry to compete more effectively globally. We applaud the newly formed Congressional Semiconductor Caucus – led by Sen. James Risch (R-Idaho), Sen. Angus King (I-Maine), Rep. Pete Sessions (R-Texas), and Rep. Zoe Lofgren (D-Calif.) – for working to advance pro-growth policies that will strengthen the U.S. semiconductor industry and our economy.”

June 2015

Billions

Month-to-Month Sales                               

Market

Last Month

Current Month

% Change

Americas

5.62

5.53

-1.6%

Europe

2.87

2.83

-1.7%

Japan

2.54

2.57

1.0%

China

8.08

8.13

0.6%

Asia Pacific/All Other

9.00

8.94

-0.6%

Total

28.11

27.99

-0.4%

Year-to-Year Sales                          

Market

Last Year

Current Month

% Change

Americas

5.24

5.53

5.6%

Europe

3.19

2.83

-11.5%

Japan

2.97

2.57

-13.6%

China

7.54

8.13

7.8%

Asia Pacific/All Other

8.50

8.94

5.2%

Total

27.44

27.99

2.0%

Three-Month-Moving Average Sales

Market

Jan/Feb/Mar

Apr/May/Jun

% Change

Americas

5.81

5.53

-4.7%

Europe

2.96

2.83

-4.4%

Japan

2.55

2.57

0.8%

China

7.83

8.13

3.8%

Asia Pacific/All Other

8.57

8.94

4.4%

Total

27.70

27.99

1.0%

Intel Corporation and Micron Technology, Inc. today unveiled 3D XPoint technology, a non-volatile memory that has the potential to revolutionize any device, application or service that benefits from fast access to large sets of data. Now in production, 3D XPoint technology is a major breakthrough in memory process technology and the first new memory category since the introduction of NAND flash in 1989.

The explosion of connected devices and digital services is generating massive amounts of new data. To make this data useful, it must be stored and analyzed very quickly, creating challenges for service providers and system builders who must balance cost, power and performance trade-offs when they design memory and storage solutions. 3D XPoint technology combines the performance, density, power, non-volatility and cost advantages of all available memory technologies on the market today. The technology is up to 1,000 times faster and has up to 1,000 times greater endurance3 than NAND, and is 10 times denser than conventional memory.

“For decades, the industry has searched for ways to reduce the lag time between the processor and data to allow much faster analysis,” said Rob Crooke, senior vice president and general manager of Intel’s Non-Volatile Memory Solutions Group. “This new class of non-volatile memory achieves this goal and brings game-changing performance to memory and storage solutions.”

“One of the most significant hurdles in modern computing is the time it takes the processor to reach data on long-term storage,” said Mark Adams, president of Micron. “This new class of non-volatile memory is a revolutionary technology that allows for quick access to enormous data sets and enables entirely new applications.”

As the digital world quickly grows – from 4.4 zettabytes of digital data created in 2013 to an expected 44 zettabytes by 20204 – 3D XPoint technology can turn this immense amount of data into valuable information in nanoseconds. For example, retailers may use 3D XPoint technology to more quickly identify fraud detection patterns in financial transactions; healthcare researchers could process and analyze larger data sets in real time, accelerating complex tasks such as genetic analysis and disease tracking.

The performance benefits of 3D XPoint technology could also enhance the PC experience, allowing consumers to enjoy faster interactive social media and collaboration as well as more immersive gaming experiences. The non-volatile nature of the technology also makes it a great choice for a variety of low-latency storage applications since data is not erased when the device is powered off.

3D Xpoint technology is up to 1000x faster than NAND and an individual die can store 128Gb of data

3D Xpoint technology is up to 1000x faster than NAND and an individual die can store 128Gb of data

New recipe, architecture for breakthrough memory technology

Following more than a decade of research and development, 3D XPoint technology was built from the ground up to address the need for non-volatile, high-performance, high-endurance and high-capacity storage and memory at an affordable cost. It ushers in a new class of non-volatile memory that significantly reduces latencies, allowing much more data to be stored close to the processor and accessed at speeds previously impossible for non-volatile storage.

The innovative, transistor-less cross point architecture creates a three-dimensional checkerboard where memory cells sit at the intersection of word lines and bit lines, allowing the cells to be addressed individually. As a result, data can be written and read in small sizes, leading to faster and more efficient read/write processes.

3D XPoint technology will sample later this year with select customers, and Intel and Micron are developing individual products based on the technology.

Within the photolithography equipment market reaching $150M in 2014, advanced packaging applications experienced the strongest growth. Yole Développement (Yole)estimates that more than 40 systems have been installed in 2014, with a compound annual growth rate (CAGR) representing 10 percent between 2014 and 2020. In the meanwhile, MEMS photolithography equipment looks set for 7 percent CAGR and LEDs 3 percent.

Yole released last month its technology & market analysis dedicated to the manufacturing process, photolithography. Under this analysis entitled “Photolithography Equipment & Materials for Advanced Packaging, MEMS and LED Applications”the “More than Moore” market research and strategy consulting company proposes a comprehensive overview of the equipment and materials market dedicated to the photolithography step. Yole’s analysts performed a special focus on the advanced packaging area. They highlighted the following topics: current and emerging lithography technologies, technical specifications, challenges and technology trends, market forecast between 2014 and 2020, market shares and some case studies.

yole packaging july

“The advanced packaging market is very interesting and is growing dynamically as it includes many different players along the supply chain,” said Claire Troadec, Technology & Market Analyst at Yole. It encompasses outsourced assembly at test firms (OSATs), integrated manufacturers (IDMs), MEMS foundries and mid-stage foundries.
In comparison, even if the MEMS & Sensors industry is growing at a fast pace, components are also experiencing die size reduction due to strong cost pressure in the consumer market. Consequently wafer shipments are not following the same trend as unit shipments. Lastly, LED equipment growth is back to a normal rhythm, after big investments made in recent years.

Advanced packaging has very complex technical specifications. Warpage handling as well as heterogeneous materials represent big challenges to photolithography. Due to aggressive resolution targets in advanced packaging, performance must be improved. The current minimum resolution required is below 5µm for some advanced packaging platforms, like 3D integrated circuits, 2.5D interposers, and wafer level chip scale packaging (WLCSP). A lot of effort is being made to reduce overlay issues due to shifting dies and obtain vertical sidewalls for flip-chip and WLCSP. Although steppers are already well established in the packaging field, new disruptive lithography technologies are also emerging and could contribute to market growth from 2015-2016.

“Huge business opportunities in the advanced packaging market are therefore driving photolithography equipment demand,” highlighted Amandine Pizzagalli, Technology & Market Analyst at Yole. “Given the high growth rate of this market, there is no doubt that already established photolithography players and new entrants will be attracted,” she added.

yole packaging july fig 2

By Pete Singer, Editor-in-Chief

Imagine EUV lithography in high volume production. ASML has been working for years to make it happen.

Earlier this year, ASML said that one of its major chip-manufacturing customers has placed an order for 15 EUV systems, including two that are set to be delivered before the end of this year. ASML did not name the customer, but it is almost certainly Intel (according to research firm IHS).

ASML’s CEO Peter Wennink said in a statement announcing that the customer agreement had been signed: “EUV is now approaching volume introduction. Long-term EUV planning and EUV ecosystem preparation is greatly supported by this commitment to EUV, kick-starting a new round of innovation in the semiconductor industry. The commitment extends the planning horizon and increases the confidence in EUV.”

EUV Unlike Anything Else in the Fab Figure 1

Unlike the current atmospheric based High End immersion lithography tools used in volume manufacturing, the ASML NXE tool is vacuum based and using 13.5nm EUV light, generated by a tin-based laser produced plasma source. The systems feature all-reflective 4x reduction optics assemblies from Carl Zeiss SMT with a numerical aperture (NA) of 0.33 and a maximum exposure field of 26mm by 33mm.

EUV tools are very different from any other tool in a fab in a couple of different ways. A main difference is that the tool is designed to operate in a continuous mode. “Other tools in the fab, such as single wafer tools or batch tools, will undergo many step changes during a total cycle such as process, vent, load and unload wafers and also cleaning steps,” says Jos Donders, global market sector manager at Edwards. “In principle the EUV tool is made for continuous operation. Knowing the cost of the tool and the cost for the facilities, you understand why it’s so important that the tool is always up and why there is such a demand on the reliability and uptime of the supporting equipment such as vacuum and abatement.”

EUV Unlike Anything Else in the Fab Figure 2

Donders, who was involved with the early work at ASML in understanding vacuum and abatement requirements of EUV, said the scanner and the source have very different requirements when it comes to vacuum levels. “The condition in the source is very different than the condition in the scanner. The challenge for the vacuum and abatement system is to handle the different conditions in an acceptable footprint in the sub-fab,” he said. “The cleanliness requirements, the materials selection and the overall budget are very important, as is the vacuum system that supports it,” he added.

Hydrogen in EUV is used to mitigate the contamination effect on the mirrors Andrew Chambers, Technical manager at Edwards said.

Pumping hydrogen is a challenge in itself. “It’s a small molecule,” says Donders. “It’s very difficult to pump. Your pumping mechanism needs to accommodate hydrogen, but also other gases (when the tool is in different states).” Chambers said there is interest in alternative solutions for handling and abating the process gases for EUV and work in Edwards is underway to achieve this ahead of volume manufacturing.

Donders concluded that one of Edwards’ main tasks is to enable EUV lithography going into volume production by supporting it needs to further improve the total energy use and offering sustainable solutions going forward.

By Shannon Davis, Web Editor

Fifty years of technological developments following Moore’s Law has changed our world in some phenomenal ways, but Intel’s Doug Davis believes the time has come to change the way we think about developing new solutions.

At SEMICON West 2015 on Wednesday morning, Intel’s Internet of Things Senior Vice President and General Manager challenged attendees to broaden their thinking on the potential of the IoT and examine their own roles in bringing about global change through new, innovative technology.

“The question is not how do we make these devices smart? The question becomes what are the problems that we can work together to solve?” Davis said.

Davis’ presentation addressed four complex issues the world is currently facing: an aging population, climate change, the urban boom, and how we feed the planet, offering real IoT solutions that could impact these growing concerns.

IoT and an aging population

Since 1950, the average lifespan has increase by more than 20 years. By the year 2050, more people on the planet will be over the age of 60 than under the age of 14.

“As we’re all living healthier, longer lives, we also have to reflect that as a society we’re unprepared to provide care for these kinds of numbers,” said Davis.

Even if the infrastructure were available, if you talk to seniors, they’d rather live out their lives at home, Davis pointed out. How can the IoT help us with this challenge? Davis offered up MimoCare as an example pioneering technology that addresses this.

MimoCare is an IoT technology currently available that uses analytics to provide the caregiver with a unique monitoring solution. Using a network of motion, door, and presence sensors, MimoCare will unobtrusively provide data on what is normal in the home and what changes are occurring, which allows the caregiver to make decisions if they are concerned. The result: seniors are enabled to live in the comfort of their own homes longer.

IoT and climate change

No matter where you stand on global warming, there’s no arguing that air quality is becoming a serious issue in an increasing number of cities in the world, Davis said.

He challenged his audience to also think about this problem differently, posing the question, “What if we reduced emissions at every point in the supply chain?”

Davis cited Intel’s own predictive analytics solutions, which have been used in a number of their fabs around the world.

“Engineers at one Intel fab have used this data to reduce maintenance time by 50%, parts replacement by 20%,” Davis said. “They were able to reduce non-genuine yield loss by as much as 20%.”

With this kind of increase in efficiencies, Davis said Intel believes this also helps to reduce their carbon footprint.

IoT and the urban boom

“We’re undergoing the fastest rural to urban migration in human history,” Davis explained. “City populations are growing by 65 million people per year – that’s seven new Chicagos every year.”

And there are a lot of growing concerns that go along with this boom, from traffic problems to pollution. To address these issues, Davis said Intel has pilot programs now in the UK that are beginning to capture data on traffic patterns, air quality, water supply and more, and overlaying that data with public service agencies, which would allow these agencies and eventually citizens to make real-time decisions and changes.

IoT and how we feed the planet

Davis argued that the real problem the world is facing isn’t how to feed the planet, but the amount of food wasted while so many people go hungry.

“The World Bank says that we’re currently wasting 1/4 to 1/3 of the food that’s being produced on the planet today,” said Davis. “We have to get better at distributing food.”

Davis shared one example of improved agricultural performance through IoT solutions installed in rice fields in Malaysia, where farmers used ground water and weather forecasting analytics to monitor and make decisions about crop management. In the end, Davis said, farmers were able to see water savings of up to 10% and rice production increase of 50%.

What’s possible in the next five years?

It’s hard to imagine what the world will look like after another 50 years of technological developments, so Davis concluded his presentation with market research that demonstrates the dramatic impact these Internet of Things systems can have in just five years.

According to recent studies by Juniper, he reported, the world’s healthcare systems could save $36B by implementing remote patient monitoring technologies. Predicted maintenance could have as much as 1,000 times return on investment, when we think about the total impact those solutions could deliver. Smart city traffic management could reduce cumulative global emissions by 164 million metric tons, the equivalent to taking 35 million cars off the road. Improved data collection, weather forecasting, and precision agriculture could decrease agricultural losses by as much as 25% percent.

“The genius of Moore’s Law showed us what was possible and set the pace for us,” Davis said. “Over the next 50 years, think about what’s possible – think beyond just the device and into the end-to-end solutions we can create, and we can tackle these huge challenges worldwide.”

By Shannon Davis, Web Editor

China’s state-owned Tsinghua Unigroup Ltd. is preparing a $23 billion bid for chipmaker Micron Technology, in what analysts say would be the biggest Chinese takeover of a U.S. company.

Tsinghua, China’s largest state-owned chip design company, is prepared to bid $21 per share for Micron, according to Dow Jones.

As of Tuesday, a Micron spokesman told Reuters that the company had not yet received an offer, while Tsinghua chairman Zhao Weiguo told Bloomberg that the Chinese company was “very interested in cooperation” with Micron.

Tsinghua’s potential purchase of Micron is regarded as a strategic move to help the advancement of China’s own chip sector. The country currently has no major home-grown memory makers, according to Reuters.

Micron is the last remaining U.S. producer of DRAM memory chips, and any foreign takeover would still have to pass a review by the Committee on Foreign Investment in the United States, to examine the national security implications of the deal. The deal would also need to be examined by the Chinese National Development and Reform Commission.

This would not be the first significant consolidation in the memory sector this year. In May, Hewlett-Packard sold a 51 percent stake in its data-networking business to Tsinghua for approximately $2.3 billion.

What the analysts are saying

“Valuation appears low as a potential $21 a share bid is 8.3 times fiscal year PE or low end of the historic range of 7 to 15 whereas Micron was at $32 just 5 months ago,” UBS analyst Stephen Chin told MarketWatch.

MarketWatch speculated that a cheap valuation could encourage other companies to launch their own bids.

By Jeff Dorsch, Contributing Editor

The era of three-dimensional chips is upon us.

At the Design Automation Conference last month in the Moscone Center, I saw a Hybrid Memory Cube in the booth of Open-Silicon in the South Hall. There before me was technology I had read about for years, without witnessing it in person.

The Hybrid Memory Cube, High-Bandwidth Memory technology, and logic parts such as Intel’s Xeon Phi “Knights Landing” microprocessor are leading examples of 3DIC technology. Meanwhile, other advances in packaging – chip-scale packages, copper pillar bumping, fan-in wafer-level packaging, flip-chip ball grid arrays, and wafer-level fan-out packages, among others – are gaining in adoption.

The Semiconductor Technology Symposium during SEMICON West 2015 will include two sessions devoted exclusively to advanced packaging on Tuesday, July 14. Packaging: The Very Big Picture is scheduled for 10 a.m., while Packaging: Digital Health and Semiconductor Technology will commence at 2 p.m.

SEMI reported packaging materials represented $20.4 billion in worldwide sales during 2014. That figure was essentially flat with 2013. SEMI noted that if bonding wire were excluded from the segment, sales would have been up more than 4 percent from the previous year. “The continuing transition to copper-based bonding wire from gold is negatively impacting overall packaging materials revenues,” SEMI stated.

McKinsey & Co. last year published a report on advanced packaging technologies that estimated the number of integrated circuits containing 2.5DIC and 3DIC technologies will increase from about 60 million units in 2012 to more than 500 million units in 2016.

“There still is a lot of uncertainty in the market about 2.5DIC and 3.0DIC technologies – for instance, when and how exactly to adopt these newer packaging configurations, who will dominated among the players, and the role China will play,” the authors of the report wrote.

Through-silicon vias figure in many 3DIC schemes, while silicon interposers are often regarded as a bridge to 3DIC technology and called 2.5DIC packaging. Ed Korczynski, senior technical editor of Solid State Technology magazine, wrote last month about recent developments in 3DIC technology.

The emergence of advanced packaging and 3DICs hasn’t escaped the attention of semiconductor equipment vendors, of course. KLA-Tencor in April introduced two systems – the CIRCL-AP for characterization and modeling of wafer-level packaging processes and the ICOS T830 for automated optical inspection of IC packages with 2D and 3D measurements. Both products are already installed in facilities around the world.

“Advanced packaging technologies offer device performance advantages, such as increased bandwidth and improved energy efficiency,” Brian Trafas, KLA-Tencor’s chief marketing officer, said in a statement. “The packaging production methods, however, are more complex – involving the implementation of typical front-end IC manufacturing processes, such as chemical mechanical planarization and high-aspect-ration etch, and unique processes, such as temporary bonding and wafer reconstitution.”

For 2014, Amkor Technology reported that “advanced products” accounted for $1.553 billion in revenue, or 49.6 percent of the company’s total revenue. That figure has steadily risen over the past three years.

Phil Garrou, a senior consultant for Yole Developpement, speaking last December at a symposium in Burlingame, Calif., took a hardline position on the subject of 2.5D technology. “It’s 2D or 3D,” he said, with nothing in between. “Interposers are packages,” he added.

Wherever you stand on 2D, 2.5D, or 3D, there will be much to discuss at SEMICON West this week.

By Jeff Dorsch, Contributing Editor

While the lithography equipment market sometimes seems like A Tale of Two Cities, it’s more complicated than that. The basic fact is that the semiconductor industry is soldiering on with 193-nanometer immersion lithography technology and multiple-patterning exposures while extreme-ultraviolet lithography continues its long-aborning development.

ASML Holding is the leading vendor in the EUV lithography field, and it’s also a big supplier of 193nm immersion lithography systems. The industry consensus now seems to be that the near future will see the combined use of EUV and immersion, possibly at the 10-nanometer process node and definitely at the 7nm node. Beyond that, it’s anyone’s guess.

ASML had big news to reveal at the SPIE Advanced Lithography Symposium in February. Taiwan Semiconductor Manufacturing had successfully exposed 1,022 wafers within 24 hours on ASML’s NXE:3300B EUV system, with sustained power of more than 90 watts from the scanner’s power source.

In April, ASML reported that “one of its major U.S. customers” had agreed to order at least 15 EUV systems. Industry speculation on the unidentified customer quickly centered on Intel. The Dutch company has been relatively quiet since then.

Hans Meiling, ASML’s vice president of service and product marketing EUV, notes the progress that the company has made in the past year, but didn’t offer any new information on its EUV program. ASML’s EUV scanners will be “meeting production requirements within a couple of years,” he says.

“We want to get to 70 percent availability and 1,000 wafers per day,” Meiling says, and not just in a one-day test at TSMC. The goal is to provide that kind of productivity and throughput for all EUV customers, he adds.

In 2016, ASML is aiming for a daily throughput of 1,500 wafers, according to Meiling. “We have a large program internally to support that,” he says.

To make its EUV scanners productive and production-ready, ASML has developments on several fronts, Meiling notes. “It’s a multifaceted introduction of not only the scanner,” he says, taking in photomasks, photoresists, and pellicles.

Progress has been made in detecting and reducing defects in EUV mask blanks, Meiling reports. It seems likely that Intel, Samsung Electronics, and TSMC will each make their own EUV masks, he says.

When it comes to resists, “we don’t control the ecosystem,” Meiling says. “We’re monitoring this.” Resist suppliers are “continually improving critical-dimension quality” and providing “faster resist without losing the imaging capability,” he states.

Even “beautiful masks,” near-perfect photomasks, “have to have a pellicle to protect them,” Meiling observes. “Light goes through the pellicle twice,” he notes, and the pellicle’s membrane must be very thin as a result. ASML began work on a EUV pellicle two years ago and has developed a removable pellicle. The company has achieved “full mask coverage” with its pellicle and is going through an initialization phase on producing them, according to Meiling.

The ASML executive ticks off the attributes of EUV – single exposures of chips, reduction of process complexity, and the capability to deal with the complexity of chip layers. “Customers are finding out with multipatterning, it’s becoming more and more difficult,” Meiling says. “It’s very difficult for certain layers in the chip stack.”

For all the publicity about EUV, ASML is constantly improving its deep-ultraviolet lithography scanners as well, he notes. “Immersion is our workhorse,” Meiling says. “We’re tightening requirements brought to us by customers.”

Stefan Weichselbaum, ASML’s director of product marketing DUV, says the company is committed to “holistic lithography” – looking beyond scanner performance and integrating a metrology environment. Most of all, ASML wants to keep DUV/immersion machines affordable, and “the most simple thing we can do is improving the output,” he says.

Currently capable of processing 250 wafers per hour, the NXT:1980 scanner will be boosted to 275 wafers per hour during the second half of this year, according to Weichselbaum. Among other improvements, ASML has debuted feed-forward corrections, reticle cooling, and wafer-by-wafer correction for higher-order reticle distortion in the NXT:1980. “If we can manage it through software, we will,” he adds.

Donis Flagello, president, CEO, and chief operating officer of Nikon Research Corporation of America, acknowledges that immersion with co-exist with EUV at some point, as ASML and others contend.

“EUV is probably not going to go away,” he says, while adding, “It’s not going to take over.”

Nikon does analysis on EUV technology and the state of the art in immersion lithography; the company is focused on 193nm and “pushing to get the costs down,” Flagello says.

“Demand is still strong” for 193nm machines, he reports. “The entire Internet runs on semiconductors.” Still, “the semiconductor industry is mature” and consolidating, Flagello says. “We can see it in conferences.”

Immersion lithography presents its own challenges in masks and resists, the Nikon executive notes. “We can afford to pump more power into the system,” Flagello says. “We have to control the lenses better.”

While EUV has a long, well-known history of delays and problems, the industry transition to 193nm lithography wasn’t an easy one, either, according to Flagello. “There was lots of stuff we didn’t expect,” he says.

There are alternatives to 193nm and EUV lithography, such as directed self-assembly, direct-write electron-beam, and nanoimprint lithography. DSA “would be complementary” to the mainstream lithography technologies, and the others have their disadvantages, Flagello says.

An Steegen, imec’s senior vice president of process technology, says, “Multipatterning is the most cost-effective way.” With “cheaper materials,” the costs of multipatterning can be further reduced, and “there are lots of efforts here at imec and our suppliers,” she adds.

Immersion lithography can be extended to the 10nm and 7nm process nodes, Steegen says. With EUV, “you can replace multipatterning exposures with one exposure,” she notes.

The industry roadmap calls for EUV insertion into production in 2017, Steegen says. EUV source power is “almost everywhere running at 80 watts,” she adds, and uptime has been improved. “The whole EUV ecosystem is coming together,” Steegen notes, with progress in EUV photomasks and photoresists.

Directed self-assembly is “a complementary patterning technology,” the imec executive says. “We always keep an eye on all the alternatives.” While imec has succeeded in improving DSA, “we are not having huge activities around these areas,” such as multi-beam E-beam and nanoimprint, Steegen says.

“We’re getting smarter, combining multipatterning and EUV,” she adds.

One issue that concerns her is the use of FinFETs in current and future process nodes. “How far can we push those? When will they break?” she asks. “How tall can we make the FinFET? Beyond 5 nanometers? The taller, the better.”

Another area where lithography is progressing is in the field of advanced packaging. Doug Anberg, vice president of advanced stepper technology at Ultratech, says wafer bumping and other packaging technologies are “still progressing forward. We’re seeing a lot of activity in that area.”

Thomas Uhrmann, director of business development for EV Group, says “there is a lot of traction” in lithography for advanced packaging. His company plans to exhibit a nanoimprint platform tool at SEMICON West, intended for making light-emitting diodes and Internet of Things devices.

In summary, there are lots of developments in lithography, along with lots of challenges and lots of questions. And so it goes.