Tag Archives: Top Story Right

By Jamie Girard, Sr. Director, Public Policy, SEMI

Just as the annual Cherry Blossom festival wraps up, international trade has flowered as a top concern for SEMI members, requiring immediate action as 20 SEMI member executives carried the torch for the industry in recent meetings with lawmakers at the annual SEMI Washington Forum. The business leaders quickly zeroed in on the proposed Sec. 301 tariffs of 25 percent on China imports to the U.S. and their potential to drive sharp increases in the cost of doing business.

In the meetings at the two-day event in Washington, D.C., the executives expressed deep concern that the tariffs, aimed at protecting the interests of U.S. companies, would instead harm the intended beneficiaries including SEMI members around the globe. The executives also focused on the proposed 232 tariffs on steel and aluminum that would compound the damage to their businesses, spiking costs of materials that lie at the heart of their manufacturing operations.

Also crucial to their business interests, the SEMI members educated lawmakers on the talent shortage and the intense competition to fill open positions across the supply chain. With fully 77 percent of industry executives seeing talent shortfalls as a pressing business issue, the business leaders pushed for legislation that would bring more domestic talent into the STEM education pipeline – such as S. 1518, The CHANCE in Tech Act to support more apprenticeships in technology, and H.R. 4023, the Developing Tomorrow’s Engineering and Technical Workforce Act to get more students involved in engineering. The group also encouraged support of the “Immigration Innovation” or “I-Squared” bill to strengthen and expand the H1-B visa program and STEM Greencards.

The SEMI Washington Forum, a venue for SEMI members to educate lawmakers about the industry, also addressed concerns over restrictions on foreign investment in the U.S. Passage of S. 2098, the Foreign Investment Risk Review Modernization Act (FIRRMA), would usher in new operating efficiencies for the Committee for Foreign Investment in the United States (CFIUS) by adding much-needed resources to the overburdened body. However, the bill would also subject many ordinary business transactions to a lengthy and costly national security review that would hamper the ability of many companies to do business in the global marketplace.

All told, attendees at the forum held more than 30 meetings with lawmakers, reflecting the great impact of public policy on SEMI members companies. In a time when the stakes for the industry have risen to new levels, direct engagement with lawmakers in the nation’s capital by SEMI and its members is critical. The SEMI Washington Forum is a terrific way for members to more clearly understand the impact of key pieces of legislation and gain firsthand experience in influencing policy and helping lawmakers better understand the industry. If you are interested in learning more about the SEMI Washington Forum or SEMI’s public policy program, please contact Jamie Girard by email at [email protected].

Driven by strong growth in the memory market, worldwide semiconductor revenue totaled $420.4 billion in 2017, a 21.6 percent increase from 2016 revenue of $345.9 billion, according to final results by Gartner, Inc.

“2017 saw two semiconductor industry milestones — revenue surpassed $400 billion, and Intel, the No. 1 vendor for the last 25 years, was pushed into second place by Samsung Electronics,” said George Brocklehurst, research director at Gartner. “Both milestones happened due to rapid growth in the memory market as undersupply drove pricing for DRAM and NAND flash higher.”

The memory market surged nearly $50 billion to reach $130 billion in 2017, a 61.8 percent increase from 2016. Samsung’s memory revenue alone increased nearly $20 billion in 2017, moving the company into the top spot in 2017 (see Table 1). However, Gartner predicts that the company’s lead will be short-lived and will disappear when the memory market goes into its bust cycle, most likely in late 2019.

Table 1. Top 10 Semiconductor Vendors by Revenue, Worldwide, 2017 (Millions of U.S. Dollars)

2017 Rank

2016 Rank

Vendor

2017 Revenue

2017 Market

Share (%)

2016 Revenue

2016-2017 Growth (%)

1

2

Samsung Electronics

59,875

14.2

40,104

49.3

2

1

Intel

58,725

14.0

54,091

8.6

3

4

SK hynix

26,370

6.3

14,681

79.6

4

5

Micron Technology

22,895

5.4

13,381

71.1

5

3

Qualcomm

16,099

3.8

15,415

4.4

6

6

Broadcom

15,405

3.7

13,223

16.4

7

7

Texas Instruments

13,506

3.2

11,899

13.5

8

8

Toshiba

12,408

3.0

9,918

25.1

9

17

Western Digital

9,159

2.2

4,170

119.6

10

9

NXP

8,750

2.1

9,314

-6.1

Others

177,201

42.2

159,655

11.0

Total Market

420,393

100.0

345,851

21.6

Source: Gartner (April 2018) 

The booming memory segment overshadowed strong growth in other categories in 2017. Nonmemory semiconductors grew $24.8 billion to reach $290 billion, representing a growth rate of 9.3 percent. Many of the broadline suppliers in the top 25 semiconductor vendors, including Texas Instruments, STMicroelectronics and Infineon, experience high growth as two key markets, industrial and automotive, continued double-digit growth, buoyed by broad-based growth across most other end markets.

The combined revenue of the top 10 semiconductor vendors increased by 30.6 percent during 2017 and accounted for 58 percent of the total market, outperforming the rest of the market, which saw a milder 11.0 percent revenue increase.

M&As are taking longer

2017 was a slower year for closing mergers and acquisitions (M&As), with roughly half the deal value and number of deals compared with 2016. However, the semiconductor industry continues to see escalating deal sizes with greater complexity, which are becoming more challenging to close. Avago set a record in its acquisition of Broadcom for $37 billion in 2016, and this record should soon be broken by Qualcomm’s acquisition of NXP Semiconductors for $44 billion.

The IoT is starting to pay vendor dividends

Growth in the Internet of Things (IoT) is having a significant impact on the semiconductor market, with application-specific standard products (ASSPs) for consumer applications up by 14.3 percent and industrial ASSPs rising by 19.1 percent in 2017. Semiconductors for wireless connectivity showed the highest growth with 19.3 percent in 2017, and topping $10 billion for the first time, despite reduced component prices and the static smartphone industry.

More detailed analysis is available to Gartner clients in the report “Market Share Analysis: Semiconductors, Worldwide, 2017.”

Demand for panels – both thin-film transistor liquid crystal display (TFT LCD) and active-matrix organic light-emitting diode (AMOLED) – using oxide backplane technology doubled in 2017, in terms of area, compared to a year ago, according to a latest report from business information provider IHS Markit (Nasdaq: INFO). The market is forecast to grow 30 percent in 2018 to 5.3 billion square meters from 2017.

Oxide backplane technology offers the benefit of higher resolution while consuming lower power, which are better suited to IT consumer products that require high mobility. With Apple’s increasing adoption of oxide TFT LCD panels for its tablet and notebook products in 2017, the demand surged 98 percent in 2017 year on year. Area demand for OLED TV panels using the oxide backplane technology also increased by 106 percent during the same period, according to the latest Display long term demand forecast tracker by IHS Markit.

“Demand for oxide panels will continue to grow in 2018 as demand particularly for OLED TV, with 55 inch or larger screens, increases,” said Linda Lin, principal analyst of display research at IHS Markit. “Increasing demand from IT products and rising penetration of OLED panels to major applications will help growing demand for LCD and OLED panels using oxide backplane technology in 2018, respectively.”

04.18.18_Oxide_backplane_demand_in_OLED_and_LCD

Panels using oxide backplane technology are mainly supplied by Sharp and LG Display. While Sharp is focusing on the oxide backplane for TFT LCD for IT applications, LG Display is more targeting the oxide backplane for OLED panels for TVs. Both are planning to expand their oxide capacity in 2018.

Sharp’s Gen 6 fab in Kameyama, Japan, is solely dedicated to producing low temperature polysilicon (LTPS) panels. To grab more orders for the Apple iPad, the company is going to change 40 percent of its LTPS capacity to oxide at the end of 2018.

Its Gen 8 fab in Kameyama is also planning to gradually increase the oxide capacity beginning the first quarter of 2018, from 50 percent of its all capacity in the last quarter of 2017 to 75 percent by the end of 2018. On the other hand, oxide panel price would be a key point to increase Oxide panel’s market share and decide that Sharp can enlarge Oxide capacity continuously or not in the future.

LG Display also plans to increase oxide panel capacity to prepare for the OLED TV panel business in future. Its Gen 8.5 OLED fab in Guangzhou, China, plans to start mass production of oxide backplane using OLED panels in the second half of 2019, with a capacity of 60,000 units per month. In Paju of South Korea, the company is also working to build Gen 10.5 fabs for both a-Si and oxide backplane panels.

Research included in the April Update to the 2018 edition of IC Insights’ McClean Report shows that the world’s leading semiconductor suppliers significantly increased their marketshare over the past decade. The top-5 semiconductor suppliers accounted for 43% of the world’s semiconductor sales in 2017, an increase of 10 percentage points from 10 years earlier (Figure 1).  In total, the 2017 top-50 suppliers represented 88% of the total $444.7 billion worldwide semiconductor market last year, up 12 percentage points from the 76% share the top 50 companies held in 2007.

2e775855-14c8-463e-883d-ead33f35beb6

Figure 1

As shown, the top 5, top 10, and top 25 companies’ share of the 2017 worldwide semiconductor market each increased from 10-12 percentage points over the past decade.  With the surge in mergers and acquisitions expected to continue over the next few years (e.g., Qualcomm and NXP), IC Insights believes that consolidation will raise the shares of the top suppliers to even loftier levels.

As shown in Figure 2, Japan’s total presence and influence in the IC marketplace has waned significantly since 1990, with its IC marketshare (not including foundries) residing at only 7% in 2017.  Once-prominent Japanese names missing from the top IC suppliers list are NEC, Hitachi, Mitsubishi, and Matsushita. Competitive pressures from South Korean IC suppliers—especially in the memory market—have certainly played a significant role in changing the look of the IC marketshare figures over the past 27 years. Moreover, depending on the outcome of the sale of Toshiba’s NAND flash division, the Japanese-companies’ share of the IC market could fall even further from its already low level.

Figure 2

Figure 2

With strong competition reducing the number of Japanese IC suppliers, the loss of its vertically integrated businesses, missing out on supplying ICs for several high-volume end-use applications, and its collective shift toward the fab-lite IC business model, Japan has greatly reduced its investment in new semiconductor wafer fabs and equipment.  In fact, Japanese companies accounted for only 5% of total semiconductor industry capital expenditures in 2017 (two points less than the share of the IC market they held last year), a long way from the 51% share of spending they represented in 1990.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $36.8 billion for the month of February 2018, an increase of 21.0 percent compared to the February 2017 total of $30.4 billion. Global sales in February were 2.2 percent lower than the January 2018 total of $37.6 billion, reflecting typical seasonal market trends. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“The global semiconductor market continued to demonstrate substantial and consistent growth in February, notching its 19th consecutive month of year-to-year sales increases and growing by double-digit percentages across all major regional markets,” said John Neuffer, president and CEO, Semiconductor Industry Association. “The Americas stood out once again, with sales increasing nearly 40 percent compared to last year, and sales were up year-to-year across all major semiconductor product categories.”

Year-to-year sales increased significantly across all regions: the Americas (37.7 percent), Europe (21.7 percent), China (16.4 percent), Asia Pacific/All Other (16.2 percent), and Japan (15.5 percent). Month-to-month sales increased slightly in Europe (0.9 percent), but fell somewhat in Japan (-0.9 percent), Asia Pacific/All Other (-1.5 percent), China (-2.6 percent), and the Americas (-4.3 percent).

For comprehensive monthly semiconductor sales data and detailed WSTS Forecasts, consider purchasing the WSTS Subscription Package. For detailed data on the global and U.S. semiconductor industry and market, consider purchasing the 2017 SIA Databook.

Feb 2018

Billions

Month-to-Month Sales                              

Market

Last Month

Current Month

% Change

Americas

8.63

8.26

-4.3%

Europe

3.40

3.43

0.9%

Japan

3.21

3.18

-0.9%

China

12.01

11.70

-2.6%

Asia Pacific/All Other

10.35

10.19

-1.5%

Total

37.60

36.75

-2.2%

Year-to-Year Sales                         

Market

Last Year

Current Month

% Change

Americas

6.00

8.26

37.7%

Europe

2.82

3.43

21.7%

Japan

2.75

3.18

15.5%

China

10.05

11.70

16.4%

Asia Pacific/All Other

8.77

10.19

16.2%

Total

30.38

36.75

21.0%

Three-Month-Moving Average Sales

Market

Sep/Oct/Nov

Dec/Jan/Feb

% Change

Americas

8.77

8.26

-5.8%

Europe

3.42

3.43

0.1%

Japan

3.21

3.18

-1.0%

China

11.90

11.70

-1.7%

Asia Pacific/All Other

10.39

10.19

-1.9%

Total

37.69

36.75

-2.5%

 

Combined sales for optoelectronics, sensors and actuators, and discrete semiconductors (known collectively as O-S-D) increased 11% in 2017—more than 1.5 times the average annual growth rate in the past 20 years—to reach an eighth consecutive record-high level of $75.3 billion, according to IC Insights’ new 2018 O-S-D Report—A Market Analysis and Forecast for Optoelectronics, Sensors/Actuators, and Discretes. Total O-S-D sales growth is expected to ease back in 2018 but still rise by an above average rate of 8% in 2018 to $81.1 billion, based on the five-year forecast of the new 375-page annual report, which became available this week.

In 2017, optoelectronics sales recovered from a rare decline of 4% in 2016, rising 9% to $36.9 billion, while the sensors/actuators market segment registered its second year in a row of 16% growth with revenues climbing to $13.8 billion, and discretes strengthened significantly, increasing 12% to $24.6 billion.  The new O-S-D Report forecast shows optoelectronics sales growing 8% in 2018, sensors/actuators rising 10%, and discretes growing 5% this year (Figure 1).

Figure 1

Figure 1

Between 2017 and 2022, sales in optoelectronics are projected to increase by a compound annual growth rate (CAGR) of 7.3% to $52.4 billion, while sensors/actuators revenues are expected to expand by a CAGR of 8.9% to $21.2 billion, and the discretes segment is seen as rising by an annual rate of 3.1% to $28.7 billion in the final year of the report’s forecast.  In the five-year forecast period, O-S-D growth will continue to be driven by strong demand for laser transmitters in optical networks and CMOS image sensors in embedded cameras, image recognition, machine vision, and automotive applications as well as the proliferation of other sensors and actuators in intelligent control systems and connections to the Internet of Things (IoT).  Power discretes (transistors and other devices) are expected to get a steady lift from the growth in mobile and battery-operated systems as well as good-to-modest global economic growth in most of the forecast years through 2022, the report says.

Combined sales of O-S-D products accounted for about 17% of the world’s $444.7 billion in total semiconductor sales compared to less than 15% in 2007 and under 13% in 1997.  Since the mid-1990s, total O-S-D sales growth has outpaced the much larger IC market segment because of strong and relatively steady increases in optoelectronics and sensors. However, this trend was reversed recently mostly due to a 77% surge in sales of DRAMs and 54% jump in NAND flash memory in 2017.

The 2017 increase for total O-S-D sales was the highest growth rate in the market group since the 37% surge in the strong 2010 recovery year from the 2009 semiconductor downturn.  In addition, 2017 was the first year since 2011 when all three O-S-D market segments reached individual record-high sales, says IC Insights’ new report.  The 2018 O-S-D Report also shows that sales of sensor and actuator products made with microelectromechanical systems (MEMS) technology grew 18% in 2017 to a record-high $11.5 billion.

By David W. Price, Douglas G. Sutherland and Jay Rathert

Author’s Note: The Process Watch series explores key concepts about process control—defect inspection, metrology and data analysis—for the semiconductor industry. This article is the second in a five-part series on semiconductors in the automotive industry. In the first article, we introduced some of the challenges involved in the automotive supply chain and showed that the same defects that cause yield loss are also responsible for reliability issues. In this article, we discuss the connection between baseline yield and baseline reliability and present ways that both can be improved.

The strong correlation between semiconductor IC yield and reliability has been well studied and documented. The data shown in figure 1 demonstrates this relationship. Similar outcomes have been shown at the lot, wafer and die location level. Simply put, when yield is high, reliability follows suit. As discussed in the first article of the Process Watch Automotive series, this yield-reliability correlation is not unexpected, since the defect types that cause die failures are the same as those that cause early reliability problems. Yield and reliability defects differ primarily by their size and where they occur on the device pattern in the die.

Figure 1. Data demonstrating the strong correlation between IC device reliability and yield.1

Figure 1. Data demonstrating the strong correlation between IC device reliability and yield.1

It follows that reducing the number of yield-killing defects in the IC manufacturing process will increase baseline yield and simultaneously increase device reliability in the field. Recognizing this fact, fabs serving the automotive market are faced with two critical questions. The first is economic in nature: what is the appropriate level of investment of time, money and resources in yield improvement to create the needed reliability gains? The second question is technical: what are the best defect reduction methodologies for boosting the baseline yield to the necessary levels?

For fabs that make consumer devices (ICs for mobile phones, tablets, etc.), “mature yield” is defined as the point where further improvements in yield no longer warrant the investment of time and resources. As a product matures, yield tends to stabilize at some high value, but usually well below 100%. Instead of pursuing higher yield, it makes more economic sense for the consumer fab to reallocate resources to developing the next design node’s processes and devices, or to reducing costs to improve the profitability of their legacy node.

For automotive fabs, the economic decision on whether to invest more to increase yield extends beyond the typical marginal revenue determination. When there is a reliability issue, the automotive IC manufacturer will likely bear the cost of expensive and time-consuming failure analysis, and will be held financially liable for field warranty failures, recalls and potential legal liabilities. Given that automotive IC reliability requirements are as much as two to three orders of magnitude higher than consumer IC requirements, automotive fabs must achieve higher baseline yield levels. This requires a new way of thinking about what constitutes “mature yield.”

Figure 2 highlights the difference in mature yield between consumer and automotive fabs. As either type of fab moves up the yield curve, almost all systematic sources of yield loss have been resolved. The remaining yield loss is primarily due to random defectivity, contributed by either the process tools or the environment. A consumer fab may adopt a “good enough” approach to yield and reliability at this point. However, in the automotive industry, fabs employ a continuous improvement strategy to push the yield curve even higher. By driving down the incidence of yield-limiting defects, automotive fabs also reduce latent reliability defects, thereby optimizing their profits and mitigating risk.

Figure 2. In a consumer device fab (yellow line), the top of the yield curve (Yield versus Time) is limited by diminishing returns to profitability for increased investment in defect reduction. The automotive fab yield curve, shown by the blue dashed line, also factors in reliability. Additional improvement to baseline yield must be made by automotive fabs to meet the parts per billion quality requirements. The purple shaded area highlights the difference in yield between consumer and automotive fabs – a difference that’s primarily related to process tool defectivity.

Figure 2. In a consumer device fab (yellow line), the top of the yield curve (Yield versus Time) is limited by diminishing returns to profitability for increased investment in defect reduction. The automotive fab yield curve, shown by the blue dashed line, also factors in reliability. Additional improvement to baseline yield must be made by automotive fabs to meet the parts per billion quality requirements. The purple shaded area highlights the difference in yield between consumer and automotive fabs – a difference that’s primarily related to process tool defectivity.

The automotive supply chain – from OEMs to Tier 1 suppliers to IC manufacturers – is adopting a mindset that “every defect matters” in pursuit of a Zero Defect strategy. They recognize that when latent defects escape the fab, the cost of discovery and mitigation increases as much as 10x at every additional level of the supply chain. As such, the existing over-reliance on electrical test needs to be replaced by a strategy where latent failures are stopped in the fab where the cost is lowest. Only by implementing a methodical defect reduction program will a fab move towards the Zero Defect goal and be able to pass the stringent audits required by automobile manufacturers.

In addition to robust inline defect control capability, some of the defect reduction methods that automotive purchasing managers look for include:

  • Continuous Improvement Program (CIP) for baseline defect reduction
  • Golden Tool Work Flow
  • Dog Tool Programs

Continuous Improvement in Baseline Defect Reduction

The foundation of any rigorous baseline defect reduction plan is the inline defect strategy. To successfully detect the defects that affect yield and reliability of their design rules and device types, a fab’s inline defect strategy must include both an appropriate process control toolset and an adequate sample plan. The defect inspection systems utilized must produce the required defect sensitivity, be maintained to specifications and utilize well-tuned inspection recipes. The sample plan must be set for the right process steps at sufficient frequency to quickly flag process or tool excursions. Additionally, there should be sufficient inspection capacity to support a control plan that expedites excursion detection, root cause isolation and WIP-at-risk traceability. With these elements, an automotive fab should achieve a successful baseline defect reduction plan that can demonstrate positive yield trends over time, provide goals for further improvement, and equal industry best practices.

Within a baseline defect reduction plan, one of the biggest challenges is answering the question: where did this defect come from? The answer is often not straightforward. Sometimes the defect is detected many process steps away from the defect source. Sometimes the defect becomes apparent only after the wafer has gone through several other process steps that “decorate” it – i.e., make it more visible to inspection systems. A Tool Monitoring strategy helps resolve the question surrounding a defect’s origin.

In Tool Monitoring / Tool Qualification (TMTQ) applications, a bare wafer is inspected, run through a specific process tool (or chamber) and then inspected again (figure 3). Any new defects found on the wafer with the second inspection must have been added by that specific process tool. The results are unequivocal; there is no question about the defect’s origin. Automotive fabs pursuing a Zero Defect standard recognize the benefit of a Tool Monitoring strategy: with sensitive inspection recipes, appropriate control limits and out-of-control action plans (OCAP), the sources of random yield loss contributed by each process tool can be revealed and addressed.

Figure 3. After baselining the bare wafer with a “pre” inspection, it can be cycled through some or all process tool steps. The “post” inspection reveals defects added by the process tool.

Figure 3. After baselining the bare wafer with a “pre” inspection, it can be cycled through some or all process tool steps. The “post” inspection reveals defects added by the process tool.

Furthermore, when a process tool’s contribution of adder defects is plotted over time, as in figure 4, it provides a record of continuous improvement that can be audited and used to set future defect reduction goals. The defects from every tool in the fab can be classified to generate a defect library that can be referenced for failure analysis of field returns. This approach requires very frequent tool qualification – at least once per day – and is usually used in conjunction with a Golden Tool Work Flow or Dog Tool Programs, discussed below.

Figure 4. Continuous improvement in tool cleanliness over time. The source of the problem is unambiguous and objective defect reduction targets can be set on a quarterly or monthly basis. In addition, comparing the defectivity of two process tools can show which tool is cleaner. This helps guide tool maintenance activities to pinpoint the cause of the differences between the tools.

Figure 4. Continuous improvement in tool cleanliness over time. The source of the problem is unambiguous and objective defect reduction targets can be set on a quarterly or monthly basis. In addition, comparing the defectivity of two process tools can show which tool is cleaner. This helps guide tool maintenance activities to pinpoint the cause of the differences between the tools.

Golden Tool Work Flow

A Golden Tool Work Flow is another strategy used by fabs to reach the Zero Defect standard required by the automotive industry. With a Golden Tool Work Flow or Automotive Work Flow (AWF), the wafers for automotive ICs only go through the best process tools in the fab, requiring that the fab knows the best tool for any given process step. To reliability determine which tool is best, fabs leverage data from inline and tool monitoring inspections, and then only use those tools for the Automotive Work Flow. Restricting automotive wafers to a single tool at each process step can lead to longer cycle times. However, this is usually preferable to sending automotive wafers through process flows that suffer from higher defect levels that can lead to reliability issues. When coupled with a methodical continuous improvement program, most fabs can usually get multiple tools qualified for AWF at each step by setting quarterly targets for defect reduction.

Because it is a difficult method the scale up, the Golden Tool Work Flow is best suited for fabs where only a small percentage of WIP is automotive. For fabs in high volume automotive production, a more methodical continuous improvement program, such as the Dog Tool approach described below, is preferred.

Dog Tool Programs

A Dog Tool Program is the opposite of a Golden Tool Work Flow as it proactively addresses the worst process tool – the dog tool – at any given process step. Fabs that have been most successful in driving down baseline defectivity often have done so by adopting a Dog Tool Program. They first take down the dog tool at every process step and work on that tool until it is better than the average of the remaining tools in that set. They repeat this process over and over until all tools in the set meet some minimum standard. An effective Dog Tool program requires that the fab has a methodical Tool Monitoring strategy to qualify each process tool at each step. At a minimum, this qualification procedure should be done daily on each tool to ensure there is sufficient data so that an ANOVA or Kruskal-Wallis analysis can identify the best and worst tools in each set. A Dog Tool Program, with planned process tool downtime, is the one of the fastest ways known to bring an entire fab up to automotive standards. By increasing yield and reliability, this strategy ultimately improves an automotive fab’s effective capacity and profitability.

Summary

Automotive manufacturers who demand high reliability often require the fab to change their mindset about what really defines mature yield. In this article we have discussed several ways that fabs can reduce their baseline defectivity and improve reliability and yield. In the next article in this series we will discuss some of the technical considerations regarding the sensitivity of defect inspection tools and how that helps ensure chip reliability.

About the Authors:

Dr. David W. Price and Jay Rathert are Senior Directors at KLA-Tencor Corp. Dr. Douglas Sutherland is a Principal Scientist at KLA-Tencor Corp. Over the last 15 years, they have worked directly with over 50 semiconductor IC manufacturers to help them optimize their overall process control strategy for a variety of specific markets, including automotive reliability, legacy fab cost and risk optimization, and advanced design rule time-to-market BKMs. The Process Watch series of articles attempts to summarize some of the universal lessons they have observed through these engagements.

References:

  1. Mann, “Wafer Test Methods to Improve Semiconductor Die Reliability,” IEEE Design & Test of Computers, vol. 25, pp. 528-537, November-December 2008. https://doi.org/10.1109/MDT.2008.174
  2. Price, Sutherland and Rathert, “Process Watch: The (Automotive) Problem With Semiconductors,” Solid State Technology, January 2018.

This work explores the effect of underlying metallic alloys and the influence of Cu loss under via bottom after dry etching and wet cleaning processes. To Improve the Cu loss under via bottom, effective approaches are proposed. The modified actions for via bottom improve not only wafer yield but also reliability of the device.

By CHENG-HAN LEE and REN-KAE SHIUE, Department of Materials Science and Engineering, National Taiwan University, Taiwan, ROC

With metal line dimensional shrinkage in advanced packaging, Cu voids in metal lines cause the failure of via-induced metal-island corrosion. It impacts not only yield loss but also device reliability, specifically electron migration (EM) and stress migration (SM). One of the Cu voids is located under via bottom which is more unpredictable than others. The Cu void under via bottom is caused by integrated processes such as via etch and Cu electro-chemical plating (ECP). It is not similar to the Cu void caused by barrier Cu-seed and ECP Cu. The mechanism of Cu voids under via bottom formation from dry etching and wet cleaning are related to Cu dual-damascene interconnection. Both plasma damage and chemical reaction are proposed to explain its failure mechanism. In the integrated process of Cu interconnects, we can design not only the safety dimension of Cu line via depth but also process criteria with less damage and oxidation in dry etching and wet clean based on Cu loss amount (Cu recess) in TEM inspection. The modified actions for via bottom improve not only wafer yield but also reliability of device.

Introduction

For deep sub-micrometer CMOS integrated circuit, copper (Cu) metallization has been applied in semi- conductor metallization processes of ULSI beyond 0.13 μm technology because of its lower resistivity and better reliability, especially better electron migration resistance than that of aluminum (Al) [1–4]. Under 10 nm technology, front end-of-line (FEOL) device process had already transferred from planar to fin-fet MOS, but the Cu formation process only have slight change in backend-of-line (BEOL) metallization. There are two kinds of schemes, single- and dual- damascene processes. In fact, the main body of Cu interconnection in dual- damascene process includes metal trench and via etching, post etching, wet clean, deposition of barrier films and Cu-seed layer, Cu ECP and Cu chemical mechanical polishing (CMP). They are all similar technologies.

Even though many well-known modifications were implemented in both mature and advanced processes, a few lethal defects which significantly damage wafer yield and device reliability, such as Cu voids and scratches, always exist after Cu-CMP process due to the Cu metal corrosion. Most previous studies in Cu voids, such as Lu et al. [5], Song et al. [6], Wrschka et al. [7] and T.C. Wang et al. [8], were focused on Cu voids on metal line due to wafer yield concern. It meant that Cu voids on metal line could be detected by on-line electron-beam inspection as demonstrated by Guldi et al. [9].

Although Reid et al. [10] have described that the formation of Cu voids could be resulted from step coverage of Cu-seed, waveform function and additives (Accelerator, Suppressor and Leveler), chemical formulation of ECP. However, the mechanism of Cu voids during the via-formation process is still unclear. Coverage or quality of seed layers being poor, thin and/or discontinuous will induce via bottom void which results in deteriorating the plating process. A systematic study of Cu void effects has not been reported. For the mature technology to reduce via resistance, a Cu surface cleaning (pre-cleaning) process prior to deposit the diffusion barrier metal to remove the CuOx on via bottom in order to improve yield was mentioned by Wang et al. [8]. However, it caused a significant Cu loss under via bottom as well as deteriorating reliability window of the process.

With the metal line shrinkage in advanced CMOS process, Cu void under via bottom becomes much crucial than before. Actually, it perhaps is the most important defect in device reliability concern. Unlike Cu voids or pits on metal line, such defects cannot be easily detected by on-line defect screen methodology, neither electrical test nor wafer yield testing. The reason is that Cu interconnection is still valid at that time. The most decisive step of Cu void detection under via bottom is the reliability test. Alers et al. [11] showed that Cu voids affected electron migration resistance. Wang et al. [12] had pointed out that Cu voids under via bottom were the major factor resulting in failure during stress and/or electron migration tests. In our exper- iment, Cu loss under via bottom was strongly related to high temperature storage (HTS) and high temperature operation life (HTOL) reliability tests. Thermal and/or electronic stresses may resulted from many processes, including Si manufacturing, bumping, wafer yield test and even early failure rate (EFR) stage in reliability test. It should be further clarified.

Experimental procedures

A. Cu scheme and process

A via structure consisted of metal chains and via holes as displayed in FIGURE 1. Dual Cu damascene with “via first” process was applied to prepare the test sample. The Cu interconnection was made by BEOL Cu dual-damascene process which included an etching stop layer, dielectric deposition, metal line/via lithography, metal line/via dry etching, post etching wet clean containing deionized water (DIW) with discharging gas, deposition of barrier films and Cu-seed layer, Cu ECP and Cu CMP.

Screen Shot 2018-03-22 at 1.10.02 PM

In advanced technology, EM resistance decreasing with metal line shrinkage of Cu interconnects was a major concern, specifically for dimensions of metal line and via bottom less than 30 nm. As the interconnect dimension shrunk, the EM resistance of Cu interconnects was deteriorated and decreasing the service life of device. In order to improve EM resistance of Cu damascene, doping the Cu interconnects with appropriate elements was one of engineering approaches. Manganese (Mn) is one of the most popular element applied in Cu dopping. Mn could diffuse through the Cu interconnects and segregate along the interface between Cu and low-k dielectric layer. It was served as the barrier layer, adhesion promoter and oxidation retardant because the diffusivity of Mn in Cu was much faster than self-diffusivity of Cu, approximately one order of magnitude higher. It indicated that Mn atoms initially alloyed in Cu were migrated into surface and interface, and formed an oxide layer leaving the pure Cu behind after annealing step. In addition, Mn could also repair discontinuous barrier layer (Ta/TaN) by forming a local manganese silicate diffusion barrier layer. It was so called self-forming Cu-Mn diffusion barriers [13,14].

In this research, both Cu/1% Mn and Cu/1% Al served as underlying alloys were evaluated by Cu recess. The introduction of Cu/1% Al in the test was for the purpose of comparison. The main body of Cu interconnection of dual-damascene process included via etching, post etching wet clean, deposition of barrier films and Cu-seed layer and ECP. They were separated by different key process variables, such as dry etching power split, post etching as well as wet clean discharging gas flow rate split. The effect of these process variables on Cu loss under via bottom was evaluated in the experiment.

B. Methodology

FIGURE 2 illustrated a schematic diagram of Cu recess in the device. The Cu recess of via bottom was observed using the step-by-step TEM followed by dry etch and wet clean processes. The Cu line was receded back into the bottom of Cu metal after the process. The Cu recess data were helpful to define which stage played the crucial role in Cu loss of via bottom. Electrical and wafer yield tests were applied in order to locate any abnormality after all processes were completed.

Screen Shot 2018-03-22 at 1.10.08 PM

To unveil the effects of thermal/electronic stresses on Cu voids under via bottom, HTS (175oC) and HTOL (175oC with double device operation voltages) were performed to evaluate wafer yield swap after HTS and HTOL. Wafer yield swap was able to exam the yield before/after HTS and HTOL. The good die was failed if the Cu loss under via bottom occurred. After wafer yield swap dice was confirmed, failure analysis was performed by focus ion beam (FIB), scanning electron microscope (SEM) and transmission electron microscope (TEM). In addition, the chemical analysis was examined using energy dispersive spectroscope (EDS).

Results and discussion

A special design of metal line via structure with high aspect ratio of approximately 5 was performed in order to deteriorate Cu loss under via bottom. We inspected Cu recess of two different underlying metals, Cu/1% Mn and Cu/1% Al. FIGURE 3 displayed Cu recesses of Cu/1% Al and Cu/1% Mn underlying metals, respectively. Under the same process condition, the Cu recess of Cu/1% Mn was only half of Cu/1% Al, so Cu/1% Mn was more protective than Cu/1% Al. There was a strong correlation between EM cumulative failure rate and the type of underlying metals. Cu/1% Al showed much lower time to failure (TTF) and deteriorated EM performance as compared with that of Cu/1% Mn. It clearly demonstrated that Cu/1% Mn was more protective than Cu/1% Al, and failure rate of Cu/1% Mn was only 1/30 of Cu/1%. The performance of Cu/1% Al was significantly inferior to that of Cu/1% Mn. Therefore, Cu/1% Al was selected in following tests in order to enhance the differences of other key process variables.

Screen Shot 2018-03-22 at 1.10.15 PM

In the standard (STD) condition, Cu recess was inspected by step-by-step TEM of dry etching and post etching wet clean with discharging gas process, and there were approximately 5nm and 7nm (12nm–5nm=7nm)in depth of Cu loss as shown in FIGURE 4. The following barrier films and Cu-seed process only slightly consumed underlying Cu. The Cu recess only slightly increased 0.3 nm in barrier film deposition process. The pre-cleaning process was necessary before barrier film deposition in order to remove CuO on Cu surface for improved adhesion. Based on observations of Cu recess results in step-by-step TEM, post etching wet clean process also played an important role in Cu recess of via bottom.

Screen Shot 2018-03-22 at 1.10.22 PM

Dry etching by plasma not only eroded about 5nm in depth of Cu under the via bottom but also oxidized the underlying Cu which was supposed to be removed in subsequent wet cleaning process. Post etching wet clean included applying chemical solvent to clean by-product of dry etching and DI water clean to remove the chemical solvent. The DI water was with aid of discharging gas, such as CO2, in order to neutralize the accumulated charge generated by the plasma in previous dry etching. However, the discharging gas acidified the DI water and resulted in Cu loss in post etching wet cleaning process.

FIGURE 5 shows Cu recesses with different dry etching power splits. The change of plasma power split changed the degree of Cu recess. At the condition of 200 W less than STD, i.e., STD-200W, the Cu recess was less than 3nm. Although the structure looks good in shape, poor performance was observed from electrical test and wafer yield after the process was completed. Via open resulted in upper Cu disconnected from underlying Cu as demonstrated by TEM observation (Fig. 5). It was deduced that dry etching process did not etch entire via hole, especially for the dielectric layer. Although post wet cleaning slightly extended the open area under via bottom, barrier films were not well deposited on the via hole. Therefore, poor coating was obtained from the subsequent ECP process. The via resistance marked up significantly as the dry etching power decreased to 200 W less than STD, i.e., STD-200W.

Screen Shot 2018-03-22 at 1.10.30 PM

 

FIGURE 6 shows wafer yields after open/short tests with different dry etching power splits. In the open/short tests, the failure rate was decreased with decreasing the dry etching power from STD+100W to STD-100W due to less damage to the Cu substrate for lower dry etching power. The Cu recess was decreased from 17.9 nm (STD+100W) to 8.7 nm (STD-100W) as demonstrated in FIGURE 5. However, dramatically increased failure rate was observed when the dry etching power was decreased to 200 W less than STD (STD-200 W). Because the lowest dry etching power, STD-200W, was insufficient to enlarge the via hole, and resulted in increasing the via resistance. Therefore, the failure rate of STD-200W was as high as 10% as displayed in Fig. 6. There was an optimal dry etching power of STD-100W in order to maximize the wafer yield in the experiment.

Screen Shot 2018-03-22 at 1.10.37 PM

FIGURE 7 showed the variation of Cu recess with different discharging gas flow splits in the post etching wet cleaning process. The discharging gas flow was strongly related to the Cu recess, and it demonstrated that the chemical property of wet clean also played a crucial role in Cu recess. FIGURE 8 showed that the wafer yield failure rate was decreased with decreasing the post wet clean discharging flow from STD+200 sccm to STD-400 sccm. The major function of discharging gas, CO2, neutralized the accumulated charge generated by the plasma in previous dry etching. It was necessary in post etching wet cleaning process. However,it should be kept below STD-300sccm in order to improve wafer yield in the experiment.

Screen Shot 2018-03-22 at 1.10.47 PM Screen Shot 2018-03-22 at 1.10.55 PM

The reliability test result of HTOL with thermal and electronic stresses over 168 hours showed several good chips transferred to bad ones with open short bin, which was called bin swap. FIB, SEM, TEM and EDS were used in failure analyses. FIGURE 9 showed the comparison of Cu recesses before and after HTOL tests for 168 hours. It was obvious that a deeper Cu recess was observed after stress applied. Before the stress applied, the via interconnect linked with underlying metal line. This is the key reason why it was difficult to detect this type of failure in the electrical test. In Fig. 9, the Cu recess before stress applied was 23.3 nm and it extended into 42.4 nm after HTOL test for 168 hours. The Cu recess extended into twice or even triple after thermal and electronic stresses applied. Therefore, quality of the via bottom joint was greatly deteriorated if there were Cu voids under the via bottom. With increasing applied thermal and electrical stresses to via bottom, the crack propagated to entire via bottom. The via bottom finally was disconnected from underlying metal line. It was so-called via open in semiconductor industry.

Screen Shot 2018-03-22 at 1.11.01 PM

FIGURE 10 showed TEM bright field and EDS mapping of Ta at the failure location after HTOL for 168 hours. Taking a close look at the via bottom next to the interface of underlying metal line, the non-uniform barrier film was widely observed as shown in Fig. 10(a). It was the original failure location. In Fig. 10(a), TEM inspection of the failure location after HTOL test for 168 hours showed significant Cu loss, more than 30 nm, under via bottom. It was much greater than the Cu recess before thermal and electrical stress applied (12 nm). Based on the EDS mapping of Ta (Fig. 10(b)), the barrier film, TaN, was formed adjacent to the Cu loss of via bottom. It was important to note that the TaN was almost disappeared from corner of the via bottom. The disconnection of barrier film from the corner resulted in deteriorated Cu interface, and the Cu began to degen- erate and shrink under applied thermal and electronic stresses. It finally resulted in separation of the upper and underlying Cu. The via bottom was completely opened and caused the failure of device.

Screen Shot 2018-03-22 at 1.11.07 PM

Summary

With the metal line dimensional shrinkage in advanced packaging, Cu metallization has increased the concerns on long-term reliability of devices caused by Cu loss under via bottom. This work explores the effect of underlying metallic alloys and the influence of Cu loss under via bottom after dry etching and wet clean. Important conclusions are listed below:

1. Cu/1% Mn is more protective than original Cu/1% Al. The application of Cu/1% Mn improves both EM and SM resistances of via bottom.

2. Both plasma power of dry etching and the discharging gas flow of wet clean play important roles in the Cu loss under via bottom. Cu loss was initiated first after dry etching due to plasma damage. The plasma not only etched the underlying Cu of via bottom, but also oxidized the underlying Cu surface. Subsequent post etching wet clean with acidic water generated by discharging gas removes CuO at interface, and causes more Cu loss in subsequent wet cleaning process. They are the major mechanism of Cu loss under via bottom. Pre-cleaning of barrier films to remove superficial CuO on Cu for better adhesion is only a minor factor in Cu loss under via bottom.

3. To Improve the Cu loss under via bottom, effective approaches include applying protective metal line, such as Cu/ 1% Mn, minimizing interfacial damage by decreasing the power of dry etching, and the discharge gas flow of post etching.

Acknowledgement

Authors greatly acknowledge the support of Taiwan Semiconductor Manufacturing Company (TSMC) for this study.

References

1. K.Ueno, M.Suzuki, A.Matsumoto, K.Motoyama, T.Tonegawa, N. Ito, K. Arita, Y. Tsuchiya, T. Wake, A. Kubo, K. Sugai, N. Oda, H. Miyamoto, S. Satio, “A high reliability copper dual-damascene interconnection with direct-contact via structure”, 2000 IEDM Tech. Digest IEEE (2000), p. 265.
2. M.H.Tsai,W.J.Tsai,S.L.Shue,C.H.Yu,M.S.Liang,“Reliabilityof dual damascene Cu metallization”, in: Proceedings of the 2000 Inter- national Interconnect Technology Conference, IEEE (2000), p. 214.
3. C.Ryu,K.W.Kwon,A.L.S.Loke,H.Lee,T.Nogami,V.M.Dubin,R.A. Kavari, G.W. Ray, S.S. Wong, “Microstructure and reliability of copper interconnects”, IEEE Trans. Electron Devices 46 (1999), p. 1113.
4. M.H. Tsai, R. Augur, V. Blaschke, R.H. Havemann, E.F. Ogawa, P.S. Ho, W.K. Yeh, S.L. Shue, C.H Yu, M.S. Liang, “Electromigration reliability of dual damascene Cu/CVD SiOC interconnects”, in: Proceedings of the 2001 International Interconnect Technology Conference, IEEE (2001).
5. J.P. Lu, L. Chen, D. Gonzalez, H.L. Guo, D.J. Rose, M. Marudachalam, W.U. Hsu, H.Y. Liu, F. Cataldi, B. Chatterjee, P.B. Smith, P. Holverson, R.L. Guldi, N.M. Russell, G. Shinn, S. Zuhoski, J.D. Luttmer, “Understanding and eliminating defects in electroplated Cu films”, in: Interconnect Technology Conference, Proceedings of the IEEE 2001 International (2001), p. 280.
6. Z.G. Song, S.K. Loh, M. Gunawardana, C.K. Oh, S. Redkar, “Unique defects and analyses with copper damascene process for multilevel metallization”, in: IPFA 2003, Proceedings of the 10th International Symposium on the Physical and Failure Analysis of Integrated Circuits, (2003), p. 12.
7. P. Wrschka, J. Hernandez, G.S. Oehrlein, J.A. Negrych, G. Haag, P. Rau, J.E. Currie, “Development of a slurry employing a unique silica abrasive for the CMP of Cu damascene structures”, J. Electrochem. Soc. 148 (2001), p. 321.
8. T.C. Wang, Y.L. Wang, T.E. Hsieh, S.C. Chang, Y.L. Cheng, “Copper voids improvement for copper dual damascene interconnection process”, J. Phy. Chem. Sol. 69 (2008), p. 566.
9. R.L. Guldi, J.B. Shaw, J. Ritchison, S. Oestreich, K. Davis, R. Fiordalice, “Characterization of copper voids in dual damascene processes”, in: Proceedings of Advanced Semiconductor Manufac- turing 2002 IEEE/SEMI Conference and Workshop (2002), p. 351.
10. J. Reid, V. Bhaskaran, R. Contolini, E. Patton, R. Jackson, E. Broadbent, T. Walsh, S. Mayer, R. Schetty, J. Martin, M. Toben, S. Menard, “Optimization of damascene feature fill for copper electro- plating process”, in: Proceedings of Interconnect Technology, IEEE International Conference (1999), p. 284.
11. G.B. Alers, D. Dornisch, J. Siri, K. Kattige, L. Tam, E. Broadbent, G.W. Ray, “Trade-off between reliability and post-CMP defects during recrystallization anneal for copper damascene interconnects”, in: Reliability Physics Symposium, 2001. Proceedings of the 39th Annual 2001 IEEE International (2001), p. 350.
12. T.C. Wang, T.E. Hsieh, M.T. Wang, D.S. Su, C.H. Chang, Y.L. Wang, J.Y.M. Lee, “Stress migration and electromigration improvement for copper dual damascene interconnection”, J. Electrochem. Soc. 152 (2005), p. 45.
13. J. Koike, M. Haneda, J. Iijima, M. Wada, “Cu alloy metallization for self-forming barrier process”, IEEE Interconnect Technology Conference (2006), p. 161.
14. J. Koike, M. Wada, “Self-forming diffusion barrier layer in Cu-Mn alloy metallization”, App. Phy. Lett. 87, 041911 (2005)
15. J.P. Wang, Y.K. Su, “Effects of surface cleaning on stressvoiding and electromigration of Cu-damascene interconnection”, Trans. Device. Mater. Relia. IEEE (2008), p. 210.

The ConFab — an executive invitation-only conference now in its 14th year — brings together influential decision-makers from all parts of the semiconductor supply chain for three days of thought-provoking talks and panel discussions, networking events and select, pre-arranged breakout business meetings.

In the 2018 program, we will take a close look at the new applications driving the semiconductor industry, the technology that will be required at the device and process level to meet new demands, and the kind of strategic collaboration that will be required. It is this combination of business, technology and social interactions that make the conference so unique and so valuable. Browse this slideshow for a look at this year’s speakers, keynotes, panel discussions, and special guests.

Visit The ConFab’s website for a look at the full, three-day agenda for this year’s event.

KEYNOTE: How AI is Driving the New Semiconductor Era

Rama Divakaruni_June_2014presented by Rama Divakaruni, Advanced Process Technology Research Lead, IBM

The exciting results of AI have been fueled by the exponential growth in data, the widespread availability of increased compute power, and advances in algorithms. Continued progress in AI – now in its infancy – will require major innovation across the computing stack, dramatically affecting logic, memory, storage, and communication. Already the influence of AI is apparent at the system-level by trends such as heterogeneous processing with GPUs and accelerators, and memories with very high bandwidth connectivity to the processor. The next stages will involve elements which exploit characteristics that benefit AI workloads, such as reduced precision and in-memory computation. Further in time, analog devices that can combine memory and computation, and thus minimize the latency and energy expenditure of data movement, offer the promise of orders of magnitude power-performance improvements for AI workloads. Thus, the future of AI will depend instrumentally on advances in devices and packaging, which in turn will rely fundamentally on materials innovations.

The latest update to the SEMI World Fab Forecast report, published on February 28, 2018, reveals fab equipment spending will increase at 5 percent in 2019 for a remarkable fourth consecutive year of growth as shown in figure 1. China is expected to be the main driver of fab equipment spending growth in 2018 and 2019 absent a major change in its plans. The industry had not seen three consecutive years of growth since the mid-1990s.

Figure 1

Figure 1

SEMI predicts Samsung will lead in fab equipment spending both in 2018 and 2019, with Samsung investing less each year than in 2017.  By contrast, China will dramatically increase year-over-year fab equipment spending by 57 percent in 2018 and 60 percent in 2019 to support fab projects from both multinationals and domestic companies. The China spending surge is forecast to accelerate it past Korea as the top spending region in 2019.

After record investments in 2017, Korea fab equipment spending will decline 9 percent, to US$18 billion, in 2018 and an additional 14 percent, to US$16 billion, in 2019. However both years will outpace pre-2017 spending levels for the region. Fab equipment spending in Taiwan, the third-largest region for fab investments, will fall 10 percent to about US$10 billion in 2018, but is forecast to rebound 15 percent to over US$11 billion in 2019. (Details about other regions’ spending trends are available in SEMI’s latest World Fab Forecast.)

As expected, China’s fab equipment spending is increasing as projects shift to equipment fabs constructed earlier in this cycle.  The record 26 volume fabs that started construction in China in 2017 will begin equipping this year and next.  See figure 2.

Figure 2

Figure 2

Non-Chinese companies account for the largest share of fab equipment investment in China. However, Chinese-owned companies are expected to ramp up fabs in 2019, increasing their share of spending in China from 33 percent in 2017 to 45 percent in 2019.

Product Sector Spending

3D NAND will lead product sector spending, growing 3 percent each in 2018 and 2019, to US$16 billion and US$17 billion, respectively. DRAM will see robust growth of 26 percent in 2018, to US$14 billion, but is expected to decline 14 percent to US$12 billion in 2019.  Foundries will increase equipment spending by 2 percent to US$17 billion in 2018 and by 26 percent to US$22 billion in 2019, primarily to support 7nm investments and ramp of new capacity.