Tag Archives: We Recommend

July 12, 2012 — Day 2 of Semicon West 2012 began a bit earlier than usual with the Sokudo Lithography Breakfast Forum, which focused on directed self-assembly (DSA). The first question was anticipated by Atsushi Yasue, emcee and CTO of Sokudo, who opened his remarks with “Is DSA just an interesting science project?” Given that Sokudo is introducing its Duo dual track product for DSA, I suspect he believes it is more than that.

Michael Garner, chairman of the ITRS emerging nanotechnology group, opened the technical sessions with the ITRS view of DSA. DSA was first identified as a potential lithography extension in 2007; in 2011, SPIE conferences included several presentations on evidence of DSA defect reduction. Progress has been made, though defects are still unacceptable at the 100ppm level. A brainstorming session at SPIE 2012 recommended specific topics for university and consortium pre-competitive research activity.

Yoshi Hishiro of JSR Micro has been working on DSA polymer blends and applications for several years already, both block copolymers and polymer blend systems. One specific application is contact hold shrink, which includes one method that can be used in combination with EUV. Contact hole repair, making features uniform and round, is another activity with strong customer pull. A patterning doubling method allows extension of a rectilinear grid of contact holes to a staggered grid with twice the density. Clearly, design objectives are constrained to a menu of what DSA is capable of delivering.

Serge Tedesco of CEA Leti described the IDeAL program at Leti, opening his presentation that included the characterization of DSA for microelectronics using block copolymers as an “easy process” with “low cost.” The number of SPIE DSA papers grew from 5 to 25 to 55 in 2010-2011-2012. The Leti 300mm pilot line has demonstrated 100nm contact hole shrink to 15nm, but CD and defect metrology is a challenge. He believes DSA could be inserted as a complementary lithography technique as early as the 14nm node.

Steve Renwick of the Imaging Solutions Technology Development group at Nikon gave a hardware perspective on DSA with 193nm immersion lithography. From his world view, these DSA materials actually want to do what we want them to do, irrespective of the hardware optics. Rather than a competitor, DSA is a high potential complement to 193i litho. Demonstrations have been executed showing that DSA is capable of healing print defects in the pattern, because DSA wants to form the target structures. Because of the commercial implementation of double patterning, litho tools are already capable of meeting the overlay requirements of 2-3 nm required for some DSA applications. Given the successes already demonstrated, he believes DSA will indeed fly as a complement to 193i.

Charles Pieczulewski of Sokudo spoke on the path to defect free manufacturing with DSA. Pilot development activity began five years ago on the existing RF3 track, and has evolved to the new Duo track that is designed specifically for DSA. Development studies included work to determine the pre-pattern CD range that was required to reduce the DSA defect density, those defects ranging from single dislocations to gross pattern randomization. One study on defect characterization for a contact hole shrink application encompassed 550,000,000 vias; this is why we have graduate students. They found 22 missing vias, 8 due to particle contamination and only 14 due to poor DSA phase separation. The student’s leopard hallucinations are subsiding. Additional DSA tracks will move into pilot production now through 2013, with HVM tools to start shipping in 2014.

Linda He Yi of Stanford U (student of H.-S. Phillip Wong) opened her remarks with the observation that long-range order is not required for chip manufacturing. Rather, the objective can be altered to place specific patterns in specific locations of limited area. One can create a template for each individual feature to be created with DSA; or in this approach, multiple DSA features can be fabricated with a library of templates that can be used repeatedly to generate, in effect, ‘random’ design features. The benefit of such a library is a relaxation of resolution requirements for the template patterning. Once again, DSA is found to heal defects in the litho patterns. By the way, Linda is the lucky grad student who got to inspect the 550,000,000 vias cited above.

The NCCAVS CMPUG staged its 5th annual meeting concurrent with Semicon West, this year returning to a separate room rather than being held on the show floor where the seats are too few and the decibels are too many. As always, the CMPUG presentations will be posted on the NCCAVS CMPUG website in the coming week or so.

The first speaker was yours truly, Michael Fury of the Techcet Group, providing the annual CMP consumables market update. Pad & Slurry revenues are up 3% over 2010, with a 2012 forecast up 5.7% to $1.73B. Combined with pad conditioners, PCMP cleaners, PVA brushes and slurry filters, the CMP consumables business achieved a $2.04B milestone in 2011.

Iqbal Ali of SEMATECH @ Albany updated us on the status of CMP’s role in 3D TSV activities there. The TSV story remains primarily a copper CMP market from this group’s perspective, but the demands for removal rate of thick copper, planarity of large features (compared to on-chip interconnects), and selectivity to different materials demands unique CMP products and processes if TSV is to be successful in HVM. SEMATECH has been working with Cabot Micro and Air Products to develop a working backside reveal process for copper CMP and alkaline post-clean (CP98-D) that keeps cross-contamination of the exposed silicon under control, as quantified by the Qcept ChemetriQ tool.

Paul Feeney of Axus Technology took us back to the future with a discussion of polishing non-uniformity, beginning with the use of multi-wafer templates by the Cro-Magnon. Polishing heads are currently being designed with 8 zone control and ≤2mm edge exclusion. Migration to 450mm will exacerbate the center-to-edge depletion of slurry reactants and the temperature differential between the wafer leading and trailing edge, which drives reaction kinetics. The 54nm Cu line widths scheduled for production in 2013 will struggle with Cu losses due to the combined effects of non-uniformity, imperfect selectivity, and edge roll-off. Polishing head upgrades for 200mm and even 150mm wafer polishers are still in demand by smaller fabs and research labs for achieving state-of-the-art performance on retro platforms. You know, the kind found in cave drawings.

Mike Corbett of Linx Consulting talked about the impact of the now-inevitable 450mm conversion on CMP consumables. CMOS wafer starts drive the CMP market, and this segment is lagging the overall chip market. The Linx slurry & pad market estimate is $1.615B for 2011. The supplier consolidation index used by the US Department of Justice indicates that confirms the expectation that tungsten slurry is highly consolidated, though not to the extent of monopoly, while the other slurry segments are well diversified with a balance between suppliers from the US and Japan. Fab projections foretell a peak in 300mm wafer production in 2021, with 450mm starting to scale to high volume production in 2018. By 2025, the MSI (millions of square inches) of silicon processed in 450mm will cross over and exceed the 300mm production. Slurry & pad costs are projected to increase 35-50% per wafer, depending on the actual increase in slurry flow rate (used 1.2x to 1.5x) needed to achieve process specs.

Michael Fury, speaking this time as Vantage Technology, presented several tales from the sub-fab, describing the kinds of anomalies that have been observed in customer slurry distribution lines around the world using the continuous monitoring capability of the SlurryScope. Monitoring large particle counts for post-mortem diagnosis of wafer scratching incidents is useful for understanding and for future-looking corrective actions, but that is only part of the story. Monitoring particle behavior continuously teaches the patterns of drifts and spikes in the slurry supply, making it possible to learn the special causes associated with each type of behavior observed, eliminate those causes, and actually prevent slurry-induced scratching incidents with stable line performance.

Bruce Kellerman of MEMC talked about the 450mm transition from the wafer manufacturers’ point of view. The arguments supporting the 450mm transition parallel those for the 300mm transition, though the number of players that are both able and willing to afford it is dwindling. Siltronic, Sumco and MEMC have all announced workforce and facility reductions in the past 8 months. From over 20 wafer producers at 150mm, we are down to 6 suppliers at 300mm; Bruce used “?” for the count at 450mm, but all presently have active 450mm programs in place. That doesn’t mean all will remain viable, especially given the small number of fab customers. Intel, Samsung and TSMC are pretty much guaranteed customers, but even they have divergent specs. To get costs in line, a greater degree of standardization across fab customers is required.

While I do appreciate the relative quiet and sanity of holding this CMPUG meeting in a separate room away from the show floor, I realize now that the penalty we paid is that we had no access to the Happy Hour that started on the show floor two hours before we concluded our business. When people talk about CMP placing unreasonable demands on people, this is what they’re talking about.

Michael A. Fury is director and senior technology analyst, Techcet Group and a regular contributor to Solid State Technology. Read his reports from the Gartner/SEMI forecast meetings, and from CEA-Leti’s research presentations.

July 11, 2012 — Solid State Technology and SEMI announced the Best of West Award winner — Jordan Valley Semiconductor — during SEMICON West today. Jordan Valley Semiconductor’s QC-TT defect inspection system garnered the award for its ability to predict breakage in 450mm wafers, which are subject to more handling steps and more thermal stresses due to their larger size.

The award recognizes important product and technology developments in the microelectronics supply chain and is presented to a qualifying exhibitor at SEMICON West, the largest and most influential microelectronics exposition in North America. Best of West finalists were selected based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact.

Jordan Valley Semiconductor’s QC-TT predicts damage on 450mm wafers in the semiconductor manufacturing environment, and can identify slip and other crystalline defects in wafers. These defects can contribute to lower yields.

Best of West is determined by a prestigious panel of judges representing a broad spectrum of the microelectronics industry.

450mm is a major topic at the show, with Intel and ASML announcing an investment relationship to fund 450mm/EUV lithography development, as well as a host of new products. Also read: The elephant has left the room — 450mm is a go!

SEMI is a global industry association serving the nano- and microelectronic manufacturing supply chains. For more information, visit http://www.semi.org.

PennWell Corporation is a diversified business-to-business media and information company that provides quality content and integrated marketing solutions for diverse industries, including Solid State Technology for the microelectronics manufacturing sector. Learn more at www.solid-state.com.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 11, 2012 — Citi analysts surveyed the light-emitting diode (LED) manufacturing market and LED demand at SEMICON West 2012, taking place this week at the Moscone Center in San Francisco, CA.

Demand for general lighting applications remains robust for LEDs, though the LED oversupply remains significant. This could explain why orders for metal organic chemical vapor deposition (MOCVD) tools have ticked slightly higher, but primarily limited to Epistar and some small China orders.

From a chip perspective, discussions suggest Cree (NASDAQ:CREE) stepped on some large customers toes when it acquired Ruud Lighting in August 2011. Ruud makes outdoor lighting fixtures based on LEDs. This has had greater than expected negative impact on chip demand, Citi says. The downstream acquisition for the LED maker is viewed by some customers as directly competitive with their businesses.

View Citi’s full report at http://ir.citi.com/uyRtd8u%2B6M2pDF7aCZQyUr4RBK2BMqjLd6ziy8f56t2Ci20uJjgV%2Bg%3D%3D

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

July 11, 2012 — Day 1 of Semicon West 2012 opened under brighter skies than we had yesterday, when speakers at the SEMI/Gartner market symposium from Portland, of all places, made fun of the gloomy skies over my beloved San Francisco. I don’t think our skies will ever compare to Portland — and I mean that in a good way (for San Francisco…). The Semicon show floor is more spacious than it was a decade ago, with wider aisles, more presentation stages, and fewer pieces of large equipment. And for the first time in several years, Novellus is not doing its own thing in the Yerba Buena Center for the Arts, which still sports its permanent (so far…) Novellus Theater sign.

CEA Leti sponsored an early evening symposium at the W Hotel for almost 200 attendees. Among the fastest computers in the world is an installation in Berkeley that runs up to 16 PFLOPS/sec, requiring 8MW of power. Linear projections for a 1 EFLOP/sec system in the planning phase would require an unmanageable 600MW. Power management is becoming an industry in its own right. Several global data centers have been announced in Scandinavia to allow the climate to contribute to the cooling effort. I anticipate a shift for Santa from toys to virtual games in cloud computing.

Maud Vinet, one of Leti’s resident researchers at U Albany, gave the current status of their work in fully depleted SOI (FDSOI) device architecture, in which they have been engaged for 15 years since the spin out of SOITEC. This is being extended below 20nm, still in a planar device configuration.

A pair of talks reviewed Leti’s involvement in TSV and related 2.5D and 3D integration. One slide was shown with Leti’s first TSV demonstration in 1988, suggesting once again that nothing is new if you know who has the original photographs. While via-middle processing is the current norm, Leti believes that via-last with permanent bonding can be used to bring via diameter down to 3µm, though it may be limited to IDMs and memory applications due to its interdependence with design.

Integration of photonics on chip is another focus area for Leti, motivated by the fact that as much as 80% of the bottlenecks that the zettabytes (zetta = 1021) of data encounter every year occur within the data centers themselves. Since we’re expected to be into the yottabyte (1024) range by 2020, replacing electrons and copper with photons is an idea whose time is too close for comfort. We’re also going to need more prefixes for 1027 and beyond. The world runs on a lot of data, though I maintain that Fox News remains a notable exception.

The presentations were followed by hors d’oeuvres and a champagne bar stocked with — and this is the ironic part — California champagne. I sense a lost branding opportunity for our colleagues from Grenoble.

Best Semicon show give away ever: my sole nominee in this category is the Schott Glass shot glass, a very stylish piece of barware with a generous 2 ounce pour. Kudos!!

Michael A. Fury is a contributing blogger for Solid State Technology and director and senior technology analyst, Techcet Group.

Read his report from SEMI’s press conference and the SEMI/Gartner Market Symposium.

Read more about CEA-Leti’s talks in digital media editor Meredith Courtemanche’s The energy behind energy at SEMICON West

July 10, 2012 — Semiconductor equipment sales will reach $42.4 billion in 2012, according to the mid-year edition of the SEMI Capital Equipment Forecast, released at SEMICON West 2012.

Driven by consumer demand for tablets, smartphones, and other mobile devices, chipmakers continue to purchase manufacturing technology equipment, but at a slightly lesser rate than in 2011. The forecast indicates that, following a 9% capex increase in 2011, semiconductor makers are spending 2.6% less in 2012, although 2012 will be the fourth highest spending year in history, following 2000, 2007, and 2011. The industry is “absorbing new capacity,” said Denny McGuirk, president and CEO of SEMI. 2012 is part of a “multi-year market expansion,” he added, with 2013 spending to accelerate to $46+ billion.

In wafer processing equipment, the industry will spend $33.0 billion in 2012, the second highest spending year ever for this segment (the best was 2011), falling 3.8% year-over-year (Y/Y). Wafer processing equipment is the largest product segment by dollar value

The market for test equipment ($3.8 billion) and assembly & packaging equipment ($3.4 billion) will remain essentially flat (up 0.2% and 0.9%, respectively, Y/Y).

Growth is forecasted in 2 regions in 2012; Korea and Taiwan will become the largest two markets for equipment spending. 

In 2013, Korea and North America are expected to claim the top spots, with Taiwan slipping to third place in terms of equipment spending.

Tables. SEMI’s market size forecast in billions of US dollars and percentage growth over the prior year. SOURCE: 2012 SEMI Mid-Year Consensus Forecast.

SEMI is a global industry association serving the nano- and microelectronics manufacturing supply chains. For more information, visit www.semi.org or go straight to www.semi.org/en/MarketInfo.

Visit the Semiconductors Channel of Solid State Technology!

July 10, 2012 — Michael A. Fury, Ph.D., reports from the pre-opening day (July 9) of SEMICON West at the Moscone Center in San Francisco, CA. The first day hosts SEMI’s press conference on semiconductor revenues and the SEMI/Gartner Market Symposium.

The day before the official opening of SEMICON West 2012 started as always with the SEMI press conference. Semiconductor revenue forecasts for 2012 range from 0.4% to 8.6% growth for the next 6 months, thus providing support for the scenario of your choice. The equipment forecast for 2012 is $42.4B, down 2.6% from 2011; 2013 is forecast to be back up to $46.7B. The current rank order for equipment spending by region is S. Korea, Taiwan, US, Japan, Europe, China and ROW. Fab and packaging materials are forecast to be $49.3B for 2012, up 2.9% over 2011 with another 4.1% growth expected for 2013. Since 2009, spending on packaging materials has been within 6% of the spending on fab materials. SEMI states that the 450mm transition will occur, with R&D cost estimates varying widely from $8B to $40B, depending on how efficiently the conversion is executed. Advance registration for this 42nd SEMICON West of over 31,000 attendees is up slightly over 2011.

The remainder of the day was devoted to the SEMI/Gartner Market Symposium, another annual event that provides some high level insight into who is doing what to whom, and why, and at what cost in the realm of electronics manufacturing. Intel’s Senior Fellow Mark Bohr provided his perspectives on what is needed to maintain silicon technology leadership for the mobility era. Trigate FinFET devices are fully depleted, providing a steeper sub-threshold slope of ~70mV/decade compared to ~100mV/decade for the best planar devices. A family of devices is being based on the tri-gate concept, with single fins for low-power or low-performance applications and up to six fins in a single device for high-performance needs. The 22nm tri-gate operates 37% faster and 0.2V lower than the previous 32nm planar technology. Interconnects for 22nm range in pitch from 80nm to 360nm for M1-M8, with top metal M9 at 14µm. The 80nm pitch is used repeatedly as it is the tightest pitch that can be manufactured with single step patterning. The 22nm Ivy Bridge processor comprises 4 cores with integrated graphics and houses 1.4 billion transistors in 16mm2. As to the oft-foretold end of Moore’s Law, Intel presently has teams working on 10nm and 7nm technologies, so the researchers in Hillsboro have not drunk the Kool-Aid of doubt.

Figure 1. Intel transistors.

Bob Johnson, Gartner’s VP of Semiconductor Manufacturing, continued the theme with an overview of semiconductor equipment investment for a mobile world. The market driver assumptions include 2012 growth rates of 4.9% for PCs, 6.5% for mobile phones, 7.6% for NAND, and a whopping 78% for media tablets. Over the next several years, 76% of the industry growth will be driven by solid state drives, media tablets, smart phones and mobile PCs. The 2016 projection of 1.4B smart phones per year is the equivalent of 1/7 of the world population, truly a staggering number. The top 5 fab capital spenders now account for 64% of the total industry spending on equipment; the top 10 extend that to only 76%. The current 5-year CAGR for capital spending is 0.6%. NAND spending surpassed DRAM in 2011, and will continue to lead for the foreseeable future. Allocation of industry R&D funding for 450mm is expected to be 50% in 2013 and 75% by 2016.

Figure 2. Gartner’s forecast for mobile semiconductors.

Dan Tracy of SEMI’s industry research & statistics program provided their mid-year update on the equipment and materials outlook. The equipment highlights were cited above. Silicon wafer shipments for 2013 are forecast to be up 7%, with all other sizes flat or declining. Photoresist for 193nm makes up ~45% of the total market, and is expected to grow 10.3% in 2013 to $610M. On a 2011 $24.2B base, wafer fab materials overall are projected to grow 3.4% in 2012 and 5.0% in 2013. The lead news (pun shamelessly intended) for packaging materials is the shift from gold to copper for wire bonding. Volumes are increasing even as revenue declines, reflecting the lower cost of copper. On a 2011 $23.6B base, packaging materials are forecast to grow 2.4% in 2012 and 3.2% in 2013. Another hot area is underfill materials, with a current $220M market expected to grow to $300M by 2015. TSV is a ~$7M materials market today, but is expected to grow dramatically to $450M by 2016, about half of which will be for the temporary bonding adhesives needed for wafer handling.

Figure 3. SEMI’s wafer fab materials forecast.

Ken Dulaney, VP & Distinguished Analyst at Gartner, continued the mobile device theme with perspectives on pocket power: mobile devices migrating to hand held size. At the other extreme, picture the replacement of conference room white boards with 80” touch screens. Several major airlines have placed two tablet computers in every cockpit to replace about 40 pounds of mandated safety procedure documentation. Android has risen rapidly to dominance in mobile operating systems, with the Windows phone system the only credible threat on the horizon. Unlike Apple, Microsoft and Intel, Google continues to resist engaging in TV advertising to build brand identification with Android and customer loyalty, and thus may have an Achilles heel. Apple mobile products represent 9% of the volume but 75% of the profits. Corporate users are driving their IT departments toward replacing Blackberry with iPhone, but this requires an ‘Apple-way or the highway’ commitment for the corporate enterprise system. Enterprise clients are expected to largely skip Windows 8 because the conversion to Win7 was so recent, many custom applications are still being ported to Win7, and Windows 9 is already anticipated for 2014.

Figure 4. Gartner’s forecast for mobile OS.

Christian Dieseldorff of SEMI’s market research program brought us up to date on the world fab forecast for 2012-2013 and the near-term trends for fab spending and capacity. Fabs that are increasing capital spending in 2012 are Intel, Samsung, TSMC, Hynix and UMC. Despite hard economic times, Japan continues to host the greatest number of fabs in the world, and will remain in the lead through 2017. However, only Taiwan and China are expected to have a significant increase in the number of fabs over this period, with other regions closing fabs. In 2000, the top 5 IDMs accounted for 12% of the global installed capacity, excluding discretes; in 2013, they will be 54%. In contrast, the top 4 foundries moved from 43% to 57% over the same period.

Figure 5. SEMI’s fab equipment spending predictions.
Figure 6. SEMI’s capacity trends data.

Samuel Wang, Research Director at Gartner, concluded the event with a presentation on the implications of mobile device proliferation for foundries. Foundry revenue will grow 9.9% in 2012 to $32.7B, fueled in part by a tight supply of 40nm and a shortage of 28nm devices. And yet, 40nm is already expected to peak and begin its decline in 2013. In effect, looking forward, 28nm and 20nm have become mainstream, displacing 32nm and 40nm. In the next 5 years, 70% of the foundry revenue growth will be attributable to mobile devices. The combination of device sales projections and wafer production carries the implication that 300mm wafers are being produced with an average defect density of 0.15/in2. The large die size for leading 32/28nm mobile processors is a significant contributor to the current ship shortage in these technologies; smaller chips yield better. Given their manufacturing maturity, historic precedence says that these should be operating at a defect density of 0.10/in2.

Figure 7. Gartner’s estimation of revenue by nodes.

Michael A. Fury is a contributing blogger for Solid State Technology and director and senior technology analyst, Techcet Group.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 10, 2012 — imec will build a 450mm wafer fab cleanroom at the research organization’s site in Belgium, with a new EUR100 million government investment, announced by the Flemish Minister of Innovation Ingrid Lieten. Solid State Technology caught up with Luc Van den hove, president and CEO, to discuss the implications for semiconductor manufacturing at 450mm, how to build a 450mm-capable cleanroom, and more.

Imec’s aim is to provide research 2-3 nodes ahead of what is in the semiconductor production fabs today, which means imec is working on process challenges, throughput enhancement, etc, for the 10nm/14nm node. The transition to larger 450mm wafers will coincide with moves to this smaller process node, and both changes will affect manufacturing greatly, Van den hove said. “With each step in the process, there will be specific challenges when you convert to 450mm,” he said. Throughput must be high enough to reap the benefits of the larger wafer size.

Initial 450mm assessment is taking place now, with R&D on processes slated to occur in 2015 and 2016. Early manufacturing will begin in 2017. With this in mind, imec recognized a need to open the new cleanroom by mid-2015. Initial tool assessments will be done in the 450mm-compatible area of imec’s 300mm pilot line cleanroom.

What goes into making a cleanroom 450mm accessible? Higher ceilings, increased weight-bearing specifications, and more room for tools like extreme ultraviolet (EUV) lithography scanners, said Van den hove. The equipment will require new wafer stages that move at high speeds through various environments (air, liquid, etc).

Imec will also bolster its metrology suite to evaluate 450mm/1Xnm node processes, with a focus on perfect layer uniformity across the larger wafer surface.

The EUR100 million investment will help imec extend 450mm wafer development investments to EUR1 billion in the next 5 years, when the researchers will be installing 450mm tools and equipment. Imec is negotiating the investment with the European Commission for funding and with global industrial partners such as foundries and fabless/fablite semiconductor companies, as well as semiconductor manufacturing equipment and materials suppliers.

The government’s return on its investment is a high-tech ecosystem in Belgium, and Europe as a whole, Van den hove said. Semiconductor manufacturing tool suppliers need to invest a large sum in 450mm development, and they are looking for support from other parties to lessen this burden. Many of the major tool and materials suppliers for chipmaking are based in Europe, as are many fabless semiconductor companies. Government investment like that announced today fosters an “innovation engine” in Europe, Van den hove concluded.

Learn more about imec at www.imec.be.

Visit the Semiconductors Channel of Solid State Technology!

July 8, 2012 — Don’t fill up your exhibit hall meetings list just yet. Following are some of the new and flagship products that will appear this week at SEMICON West, July 10-12 in the Moscone Center of San Francisco, CA.

More SEMICON West product previews:

Metrology, inspection, and process control products

Lithography products

Semiconductor packaging products

Wafer processing and handling products

Gas distribution equipment and other products

Air Liquide will highlight several product lines at SEMICON West and Intersolar North America, including ALOHA, dedicated to the development, manufacturing, and marketing of advanced precursors for ALD and CVD applications. Other features at the booth will be the company’s SaFlow specialty gas distribution equipment for solar and nanotechnology applications; BBr3 (Boron Tribromide) alternative boron dopant source for solar; Total Gas and Chemical Management services for fabs; Balazs NanoAnalysis clean manufacturing solutions and analytical services for advancing high-technology products through materials characterization and contamination reduction; and the next-generation Flexible Factory Control System (FFCS). Air Liquide, South Hall, Booth 1007.

 

Electrostatic discharge meter

TREK INC. is debuting the Model 511 Hand-Held Field Meter, an economical and reliable way to monitor electrostatic fields for the semiconductor, photovoltaic/solar and electronics manufacturing industries. Model 511 is a portable, chopper-stabilized, non-contacting electrostatic field meter designed for testing and auditing of electrostatic fields. An optional charge plate accessory enables Model 511 to be used for the balancing and testing of ionization blowers and devices. The unit can also be used for surface voltage measurements of photoconductors or dielectric surfaces and for measurement of electrostatic potentials on film, polymers, and paper. The unit has a switch-selectable measurement range of either ±2 kV per inch or ±20 kV per inch. Measurement accuracy is better than 5% of reading. It operates in ionized or non-ionized environments. Additional features include an easy-to-read LED display, a hold-switch that preserves a reading on the display until released, and a push-button ‘auto-zero’ feature that removes any offset voltage. TREK INC., South Hall, Booth 1123.

 

Filtration and purification products for leading-edge fabs

Pall Corporation (NYSE: PLL) will unveil advanced filtration and purification products targeting 22nm and smaller-node semiconductor fabs. The products are used in chemical, gas, photolithography, ultrapure water and CMP applications. The 10nm Ultipleat SP DR G2 filter uses a proprietary, highly asymmetrical membrane to remove particles down to 10nm in size. The larger-diameter G2 filter provides 45% more liquid flow at the same differential pressure as the current standard filter. It can be implemented for critical, ambient, wet chemical surface preparation processes. The all PFA disposable UltiKleen G3 HiT KC assembly will also debut, featuring a Pall Excellar ER filter cartridge with a uniquely modified, 15nm-rated PTFE membrane that remains wet in critical aqueous chemicals. The UltiKleen G3 HiT KC Assembly’s design improves fluid dynamics and enables efficient removal under new, higher-temperature SPM processes. The new filter has been shown to reduce sub 20-nm particles by more than 25% in 120°C sulfuric acid filtration compared to previous designs. Pall will showcase the new Profile Nano filter developed to classify both ceria and low solids colloidal silica slurries typically used in advanced CMP processes, such as shallow trench isolation (STI) and barrier copper. The Profile Nano filter contains extremely fine fibers that improve particle removal efficiency to better than 99% at 200nm. Pall will introduce the IonKleen CAF purifier/ filter for critical point-of-use applications in ultrapure water. It can reduce trace metal ions to < 1 part per trillion (ppt) at challenge levels up to 10 ppb and water flow rates up to 20 liters per minute. In addition to the active polyethylene membrane, the large area, G2 style cartridge contains a highly efficient filter for removing 10nm and greater particles. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Filtration for advanced patterning processes

Pall will introduce two products for advanced patterning applications: the 2nm PE-Kleen filter with an extremely tight membrane to prevent defects in 14nm semiconductor patterning, and the Nylon Extension filter designed to increase photoresist contact time within the nylon 6,6 membrane. The 2nm PE-Kleen filter is constructed of ultra-high-purity, high-density polyethylene. The Nylon Extension filter increases contact time to enhance nylon 6,6 adsorption. The removal of insoluble polymer components by adsorption helps reduce bridge type defects. The new 2nm PE-Kleen and Nylon Extension filters are available in several different cartridge and capsule configurations, including the quick-disconnect PhotoKleen EZD-3X assembly. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Gas purification and filtration products

Pall will also uncrate products for use in ultra-high-purity gas applications. The Gaskleen II EL purifier is a compact purifier assembly suitable for intermittent process flow rates up to 50 standard liters per minutes (slpm). The assembly can be filled with any of Pall’s AresKleen purification materials to remove molecular contaminants such as moisture, oxygen, carbon dioxide and hydrocarbons to levels below 1 part per billion (ppb) from process gases. The purifier also contains an integral filter that removes particles ≥3nm with a >9 log efficiency. ChamberKleen diffusers will launch with NW40 and NW50 flanges for venting of load lock or other vacuum chambers where large volumes of gas need to flow in a short time. The assembly contains a high-flow filter pack capable of removing particles ≥3nm in size. It operates at differential pressures of up to 0.72 MPa (105 psid) to enable higher inlet pressures and faster chamber filling times. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Wafer handling

CHAD Industries will demonstrate automated wafer handling of electrostatic wafers carriers with automated SMIF pod delivery, exhibiting the WaferMate300-2 wafer handling workcell running WaferWare software. The workcell will be configured with both a 300mm FOUP loadport and 200mm SMIF-EZ loadport. It can automate handling of standard and nonstandard wafers. The SMIF-EZ loadport will be populated with 200mm electrostatic carrier wafers from CHAD’s Technology partner Beam Services. The carriers will have a smaller-diameter wafer mounted to them to demonstrate standard wafer handling of a challenging size. CHAD will also be demonstrating Adept Technology’s autonomous indoor vehicle (AIV) for automated SMIF pod delivery. The transporter is a safe autonomous indoor vehicle for delivering 200mm, 150mm, and reticle SMIF Pods within semiconductor fabs with no additional infrastructure required. It reduces vibration and handling in wafer delivery. CHAD Industries, North Hall, Booth 6274.

 

Jetting system and new cartridge

Nordson ASYMTEK will demonstrate its new NexJet jetting system with the one-piece Genius Jet Cartridge. As simple as an ink jet printer cartridge, Genius is easily removed and replaced in seconds without tools. The Genius Jet Cartridge dispenses up to 50 million cycles before a replacement is necessary, with memory to store and communicate usage data. This intelligence enables quality dispensing and high yields. The advanced design of the NexJet system includes new software that widens the process window to ensure stable and repeatable results for high-yield production and broadens the range of jettable fluids and applications. The NexJet System includes new precision software control of the jetting process, enabling successful results with both low- and high-viscosity fluids, and accommodates a broad range of fluids for applications such as flip chip underfill, chip scale package, ball grid array, package-on-package underfill, precise coating, and adhesive dispensing. Each NexJet System ships with two Genius Jet Cartridges and a specially designed Genius Jet Cartridge Cleaning Tool. The NexJet system is available as an upgrade for Nordson ASYMTEK’s Spectrum, Axiom, and DispenseMate Series platforms, and can accommodate six reservoir sizes: 3cc, 5cc, 10cc, 30cc, 2.5 oz, and 6 oz. The Genius Jet Cartridge comes in 4 sizes. Nordson ASYMTEK, North Hall, Booth 6071.

 

Printing tool & epoxy

Optomec will demonstrate several devices with 3D printed electronics fabricated with its Aerosol Jet tool: an automotive tank with fill level sensor and control circuitry (courtesy of Neotech Services MTP); a multi-chip package (MCP) with fine line conformal interconnects; and aircraft components with printed antennae, sensors, and power distribution circuitry. Optomec will also showcase a new conductive epoxy developed by Resin Design specifically for use with the Aerosol Jet printing process and its fine-feature capabilities. Together, this novel material and the Aerosol Jet system can be used to produce high-conductivity adhesive features for surface mount and high-stress interconnect applications. Aerosol Jet technology can print a wide variety of electronic materials directly onto a wide variety on non-planar surfaces. The tool produces a high-velocity material mist stream, printing interconnects for vertically stacked dies, without adjustment to Z-height positioning. The system can print fine features to <10µm and wide features >1cm. Multiple print heads can be independently controlled by the Aerosol Jet system and each print head can have multiple nozzles providing a high degree of system scalability to meet application throughput requirements. Optomec, North Hall, Booth 6665.

 

Active piezoelectric vibration cancellation system

TMC’s STACIS 2100 active piezoelectric vibration cancellation system is the industry standard solution for the most sensitive instruments in noisy environments. Primarily designed to isolate precision microlithography, metrology, and inspection equipment in advanced semiconductor factories, STACIS is hundreds of times stiffer than air isolators and suffers from none of their limitations. There is no soft suspension and, unlike active air systems, STACIS can be “stacked” (placed beneath a tool with an internal active air isolation system). STACIS employs advanced inertial vibration sensors and piezoelectric actuators to cancel vibration by sensing floor vibration, then expanding and contracting the actuators to filter out floor motion. With STACIS, precision tools can be located in noisy environments and still meet the tool manufacturer’s floor vibration criteria. TMC, a unit of AMETEK Ultra Precision Technologies, South Hall, Booth 2122.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 7, 2012 — With Micron Technology Inc. (Nasdaq:MU) acquiring bankrupt DRAM maker Elpida Memory Inc.’s assets for 200 billion Yen (approximately US$2.5 billion), several analysts are looking at the move and how it affects the DRAM manufacturing landscape, as well as the Flash memory sector.

Micron will pay $2.5 billion for Micron and its 65% stake in Rexchip, and put up an additional $334 million for Powerchip Technology Corporation’s 24% stake in Rexchip. The deal should close in H1 2013. Get the specifics of the Micron/Elpida deal here.

Micron says the additional assets will make it the "leading pure-play memory manufacturer." Barclays Capital notes that the assets approximately double Micron’s wafer capacity. Micron expects to grow to 21% market share in memory semiconductors, overtaking Hynix (16%) and drawing closer to #1 Samsung (34%), estimates Objective Analysis’ Jim Handy and Lane Mason. Micron stresses that the company will not compete with its customers, unlike Samsung. IHS iSuppli analysts see the Micron’s share growing to more like 24.8%, and places Samsung at 40.8%, and Hynix at 24.2%.

The deal was appealing to DRAM market watchers, who hoped that the combination of Micron and Elpida would create an oligopoly, keeping prices stable, but the analysts at Objective Analysis disagree. While the list of DRAM makers grows ever shorter, the commodity nature of the market will continue as before, with no changes, says Objective Analysis. “There is no reason that a capital-intensive commodity that is supplied by more than one vendor should not undergo important price collapses any time there is an oversupply, since suppliers are highly motivated to run production capacity at its maximum output, and this forces them to lower prices to be able to find markets for overproduced chips,” Objective Analysis wrote about the deal. IHS iSuppli countered that DRAM pricing has become markedly less volatile since Elpida’s February bankruptcy announcement, “deviating from long-term trends in statistically significant ways.” A weighted average of DRAM spot prices hovered in a narrow window from Elpida’s announcement through late May, changing 8 points, as opposed to a sample 12-week period in which it changed 37 points. However, “the big question remains whether normal volatility will return once some clarity emerges on the future of Elpida,” said Dee Nguyen, memory analyst at IHS, in advance of Micron’s takeover. Barclays forecast that Micron will take some commodity DRAM capacity off-line while it rebalances its product portfolio.

Table. The IHS iSuppli Momentum Indicator stayed at the 50% level since the bankruptcy announcement, an indication of neutrality with no upward or downward momentum. SOURCE: IHS iSuppli June 2012.

 

12/5/2011

2/20/2012

3/5/2012

5/21/2012

DRAM price index

180

216

235

243

Momentum indicator (%)

50

50

51

50

 

Objective Analysis expects Elpida’s fabs will continue producing DRAM chips, with Micron focusing on NAND Flash scale up at its IMFS fab in Singapore. Micron will output capital expenditures (capex) to upgrade Elpida fabs, though the cost to Micron could be offset with lower internal capex spending, Barclays said.

“DRAM consolidation has finally arrived,” wrote the analysts at Barclays Capital, who see the deal as an even better win for Micron than they expected. To achieve 16% memory market share, MU will focus on integrating Elpida’s mobile DRAM technology, scaling up its PC DRAM production with Elpida’s lines, broadening sales coverage, and exploiting the technology synergies with its acquisition. Objective Analysis sees the combined company cornering a quarter of the mobile DRAM sector, edging out Hynix for second behind Samsung, which controls about half the sector. Combined, Micron and Elpida have a dominant share of Apple’s iPhone mobile DRAM orders. The addition of significant mobile DRAM capacity was heralded by each analyst reporting here.

Elpida’s DRAM process technology has much to offer Micron, Objective Analysis notes. Unfortunately, Micron “has not been efficient” at bringing past acquisitions into its technological roadmap. Micron acquired Toshiba’s DRAM plants in Manassas, VA in 2002, ultimately shutting down the 200mm Toshiba line and converted everything to Micron’s own process on 300mm wafers before gaining traction. The later acquisition of Inotera from Qimonda in 2008 was plagued by low yields and output for an extended period that unfortunately coincided with an upturn in DRAMs, costing Micron perhaps $500 million in profits. IHS expects a very quick transition and integration at Micron once the deal officially closes, reported Mike Howard, senior principal analyst for DRAM & memory research at IHS.

What to look for at Elpida? Fresh faces in upper management, Objective Analysis suspects, and an end to the DRAM maker’s purely Japanese culture. The deal also frees Elpida from a financial burden, as its creditors will be absorbing significant losses; more than half of Elpida’s debt will not be repaid. The deal sounds a closing note for Japan’s DRAM leadership and indeed participation in the memory sector, which began in the late 1980s. Elpida was “the last important Japanese DRAM provider,” noted Objective Analysis. However, there is “still a very large base of technical capability and intellectual property in Japan, for DRAM and memory design, and process development,” the analysts note, if Micron can take advantage of this material. The majority of the jobs at Elpida will be preserved.