Tag Archives: CVD

By Pete Singer, Editor-in-Chief

Major inflection points at logic, memory, foundry and display customers are creating a great future for Applied Materials, said president and CEO Gary Dickerson, speaking at an analyst meeting on Monday.

In opening remarks, Dickerson chose not to mention the recently failed merger between Applied Materials and TEL. Instead, he described how key inflection points are being enabled by new materials technologies. “Those inflections are enabled by materials innovation. New structures and new materials in semiconductors and displays create great, great opportunities for Applied,” he said.

He also pointed to new product launches that target these opportunities. This week, Applied Materials launched a new ALD system, as well as a new etch system. Both systems are based on completely new platforms.

Dickerson said the new transitions or inflection points are “the biggest that we’ve seen in decades.” He also said the rate of change is faster than he’s ever seen. “When you look at what they need to do for higher performance, longer battery life and better visual experience at the right cost, the technologies to enable those major inflections are bigger than we have ever seen in this industry,” he said.

“When you think about mobility or automotive or IoT or wearables, the pace of the technology changes are very, very fast,” he said. “You either hit these windows or you’re out for those products,” he added. He said hitting these narrow windows was “life or death for our customers.”

About a year ago, Applied Materials formed a Patterning Group, led by Prabu Raja, group vice president. The group handles etch, CVD, selective material removal and ALD. “The growth there has been tremendous,” Dickerson said.

Dickerson said they have moved $400 million of investment in the company into these opportunities and into new products.

This week, Applied Materials launched the Centris Sym3 Etch system, featuring an entirely new chamber for atomic-level precision manufacturing. The Centris Sym3 etch chamber employs a unique True Symmetry technology with multiple tuning controls for optimizing global process uniformity to the atomic level. Key to the design is a focus on controlling and removing etch byproducts, which are increasingly hampering within-chip patterning uniformity.

The company also launched a new Olympia atomic layer deposition (ALD) system that features a flexible and rapid process sequence vital for controlling the more complex chemistries needed to develop the next generation of ALD films. Further, the modular design creates complete separation of chemistries, eliminating the pump/purge steps of conventional ALD technologies for improved productivity.