Tag Archives: letter-dd-top

How gases are used in the manufacture of displays is being impacted by new technologies, consumer demand, and the burgeoning China market.

BY EDDIE LEE, Linde Electronics, Hsin Chu, Taiwan

While the display market is no longer enjoying double-digit annual growth rates, it is experiencing resurgence due to increasing customer demands for larger flat-panel displays, OLED and 4K technology, ultra-slim form factor, curved and wearable displays, automotive displays, and more. This growth is particularly conspicuous in China, a late comer to the market, which is now the fastest growing region in display manufacturing.

These new technologies and markets require very large quantities of ultra-high purity bulk and electronic specialty gases and a dependable supply chain for these gases. This article will explore the impact of these technologies, consumer demand, and the burgeoning China market on the gases used in the manufacture of display.

Display market

According to IHS DisplaySearch, in 2014 the global display market saw revenue of $134 billion and is expected to grow 6% in 2015. The demand is being driven in large part due to new technologies and new uses for existing display technologies such as 4K, OLED, curved, and flexible displays.

Gases used in display

This love affair that consumers have of interacting with devices large and small not only increases the volume of displays to be manufactured, it also increases the volume of gases needed to make the displays. In the 20 years since the initial development and commercialization of the first Thin Film Transistor (TFT) LCD display panel, the gases market for the display sector has grown to around $450 million.

As shown in FIGURE 1, display manufacturing today uses a wide variety of gases, which can be categorized into two types: Electronic specialty gases (ESGs) and Electronic bulk gases (EBGs).

Displays 1 Displays 1-2

 

FIGURE 1. Market breakdown for the two types of gases used in display manufacturing. 

 

Screen Shot 2015-06-10 at 1.57.34 PM

Electronic specialty gases (ESGs)

Silane, nitrogen trifluoride, fluorine (on-site generation), sulfur hexafluoride, ammonia, and phosphine mixtures make up 52% of the gases used in the manufacture of displays and are available in both cylinder and bulk supply.

Of the major countries that manufacture displays, Taiwan and China import most of their ESGs while Korea and Japan have robust domestic production of ESGs.

Silane: SiH4 is one of the most critical molecules in flat panel manufacturing. Silane is used for deposition of amorphous Si (silicon), the most critical layer in the TFT transistor.

Nitrogen trifluoride: NF3 is the single largest Electronic Material from spend and volume stand- point for flat panel display (FPD) production. NF3 is used for cleaning the PECVD (plasma-enhanced chemical vapor deposition). This gas requires scalability to get the cost advantage necessary for the highly competitive market. Over 70% of the global capacity of NF3 comes from Korea and Japan.

Screen Shot 2015-06-09 at 2.52.37 PM

Electronic bulk gases (EBGs)

Nitrogen, hydrogen, helium, oxygen, carbon dioxide, and argon make up 48% of the gases used in the manufacture of displays.

Nitrogen: For a typical large TFT-LCD fab, nitrogen demand can be as high as 30,000 Nm3/ hour so an on-site generator, such as the Linde SPECTRA®-N 30,000, is a cost-effective solution that has the added benefit of an 8% reduction in CO2 footprint over conventional nitrogen plants.

Helium is used for cooling the glass during and after processing. Manufacturers are looking at ways to decrease the usage of helium because of cost and availability issues due it being a non-renewable gas.

New technologies and implications for gases

Currently about 20% of smartphones – the ones with lower resolution displays – use a-Si display process. Higher resolution devices and new effects such as curved displays require higher performance transistors and improvements in electron mobility. This can be achieved by switching from amorphous silicon (a-Si) transistors to low temperature polysilicon (LTPS) or metal oxide (MO), also known as transparent amorphous oxide semiconductor (TAOS).

LTPS is used in about 44% of high-end LCD smart- phone displays as it has the highest performance. Due to its higher costs and scalability limitations, LTPS is less suited for large screen displays

Small displays with very high pixel resolution are produced with LTPS. High-definition large displays can be made using MO. Metal oxide semiconductors can remain in an active state longer than traditional LCD and can cut power consumption by up to 90%, which is a huge benefit.

New process requirements

Metal Oxide TFT and LTPS: To meet the changes in technology, N2O, C2HF5, C4F8, BF3, and laser gases are replacing or at least reducing the requirement of NH3, BCl3, and SiH4.

The use of N2O is expected to double from 5,000 TPA (tons per annum) in 2013 to 10,000 TPA in 2017. Why nitrous oxide? The move from a-Si to MO requires a change in the TFT device structure where the a-Si layers (g-SiNx, a-Si, n+) are being replaced by the MO layers (g-SiOx + indium gallium zinc oxide). This requires a change from NH3 to high- volume, high-purity N2O.

LTPS process also uses N2O for its oxide layer deposition. In addition, LTPS uses XeCl (xenon monochloride) excimer lasers for annealing after the silicon deposition to change the silicon structure to polysilicon. High-performance laser gases, such as Ne, Xe, and Kr from Linde, are well-suited for this process.

Transparent Conductive Films (TCF) and ITO Replacements: TCFs are used in most high-tech displays and touchscreens, and particularly in displays that are bent or curved. Currently the electronics industry relies primarily on Indium Tin Oxide (ITO) to make electro-conductive films for display. ITO presents challenges: it is brittle and cracks so new TCFs are needed for structural flexibility.

New materials to potentially replace ITO are metal mesh, Ag nanowire (agNW), and carbon nanotube (CNT), which are all highly flexible with comparable transparency and resistance to ITO. Metal mesh is good for large displays, but is restricted on small and medium displays due to its wire width (typically 6 μm). AgNW demonstrates excellent transmittance and flexibility with small wire diameter (20 – 100 nm), but haze is an issue. CNT has excellent conductivity, transmit- tance, and flexibility, but the supply chain needs to be developed. Single walled carbon nanotubes (SWNT) technology from Linde uses liquid ammonia to produce solubilized carbon nanotubes in the form of inks, which can then be deposited as films and has the added benefit of zero carbon footprint.

F2 as replacement for NF3 and SF6: For a typical large TFT-LCD fab, chamber cleaning gas demand can exceed 300 tons per year. Traditionally NF3 has been used. The GWP100 (100-year Global Warming Potential) for NF3 is 17,200; for the replacement F2, the GWP100 is 0.

Switching to fluorine not only significantly reduces environmental footprint, but also leads to material cost savings and up to 50% reduction in cleaning time, increasing productivity (FIGURE 2). Fluorine can also be used to replace Sulfur hexafluoride (SF6), which is used in dielectric etching. The GWP100 for SF6 is 22,800, which surpasses that of NF3. Significant improvements in etch rate and etch uniformity have been measured with the shift to F2.

FIGURE 2. Switching to fluorine reduces environmental footprint, material costs cleaning time.

FIGURE 2. Switching to fluorine reduces environmental footprint, material costs cleaning time.

On-site fluorine generation, like that available from Linde, eliminates large-volume, high-pressure storage, and modular generators meet all flow and volume requirements for the largest scale fabs.

The China factor

Currently Korea is the leader in display manufacturing, with Taiwan and China on
its heels and Japan a distant fourth (FIGURE 3). This is changing, though, as China rapidly gains market share. China, which started in most traditional manufacturing industries as “factory to the world,” is a relative late comer in the display sector due to technology barriers.

FIGURE 3. Currently Korea is the leader in display manufacturing, with Taiwan and China on its heels and Japan a distant fourth. This is changing, though, as China rapidly gains market share. Source: IHS Displaysearch and Linde Internal.

FIGURE 3. Currently Korea is the leader in display manufacturing, with Taiwan and China on its heels and Japan a distant fourth. This is changing, though, as China rapidly gains market share. Source: IHS Displaysearch and Linde Internal.

Currently there are about five major domestic display manufacturers in China; they cater primarily to domestic mobile display and large screen markets. China has been aggressively investing in display fabs over the last five years and has gained market share from other regions.

It is expected that China will account for more than 50% of display capacity investment in the next four years. China capacity is expected to double with aggressive investments especially in the leading technology Low Temperature Polysilicon (LTPS) and Metal Oxide (MO).

Gas supply issues in China

Bulk gases are produced in China, mostly by large international gas companies. There are domestic producers of some ESGs (NH3, N2O, and SF6); other gases currently are mostly imported.

Silane (SiH4): Silane, primarily extracted as an interim process gas during poly silicon production, is one of the most critical molecules in FPD manufacturing. Chinese producers have a very small capacity of silane as they entered the market late. Considering the need for extensive qualification, technical support to achieve that, and the lack of scalable production base, local Chinese poly silicon producers are not able to offer a complete package and thus China still imports more than 80% of its silane and produces locally only 2% of the global capacity of silane.

The current consumption of silane in China display manufacturing is about 300 TPA, which is 7.5% of the global demand, and is expected to double in the next four years. Considering the complexity of the supply chain, import regulations, and storage requirements, companies are actively moving towards local transfilling and analytical capability.

Nitrogen trifluoride (NF3): Similar to silane, the China display manufacturing consumption of NF3 is expected to double to greater than 2000 TPA in the next four years. Considering the volume used and spend on NF3 and the rapid expansion of FPD manufacturing in China, more production will be done locally to minimize customs duties and to support domestic sourcing requirements. NF3 is relatively easy to qualify for chamber cleaning, but ISO supply to large customers is the biggest challenge since most producers do not have large-scale production and equipped facilities to make NF3 cost-effective to make. This is a major area of investment for local producers.

LTPS, Metal Oxide, and the Increase in Demand for N2O: N2O is a regional and localized product due to its low cost, making long supply chains with high logistic costs unfeasible. Currently, in the region, Korea manufactures about 63% of high-purity N2O, Taiwan about 30%, and China only about 7%. As China leap frogs its display industry into the cutting- edge metal oxide, or LTPS nodes, the demand for N2O will triple from its current requirement to 3,000 TPA in 2017 with the adoption of LTPS and MO.

Enablers of the growth of the China display industry

The key priorities for materials manufacturers to enable the growth of the China display industry are:

  • Commitment to invest in local infrastructure such as as on-site bulk gas plants
  • Localization of production facilities for high-purity gas and chemical manufacturing
  • Collaboration with global materials suppliers for development of new materials

Conclusion

To accommodate the boundless appetite that consumers have for the latest, most innovative, and highest definition displays – both large and small – display manufacturers must partner with gas suppliers to:

  • Identify the most appropriate gas and display technology match-up
  • Globally source electronic materials to provide customers with stable and cost-effective gas solutions
  • Develop local sources of electronic materials
  • Improve productivity
  • Reduce carbon footprint and increase energy efficiency through on-site gas plants

EDDIE LEE is Head of Global Market Development and OEMs Display, Linde Electronics, Hsin Chu, Taiwan

SEMI today announced the update of its World Fab Forecast report for 2015 and 2016. The report projects that semiconductor fab equipment spending (new, used, for Front End facilities) is expected to increase 11 percent (US$38.7 billion) in 2015 and another 5 percent ($40.7 billion) in 2016. Since February 2015, SEMI has made 282 updates to its detailed World Fab Forecast report, which tracks fab spending for construction and equipment, as well as capacity changes, and technology nodes transitions and product type changes by fab.   

Capital expenditure (capex without fabless and backend) by device manufacturers is forecast to increase almost 6 percent in 2015 and over 2 percent in 2016. Fab equipment spending is forecast to depart from the typical historic trend over the past 15 years of two years of spending growth followed by one of decline.  For the first time, equipment spending could grow every year for three years in a row: 2014, 2015, and 2016.

The SEMI World Fab Forecast Report, a “bottoms up” company-by-company and fab-by-fab approach, lists over 48 facilities making DRAM products and 32 facilities making NAND products. The report also monitors 36 construction projects with investments totaling over $5.6 billion in 2015 and 20 construction projects with investments of over $7.5 billion in 2016.  

According to the SEMI report, fab equipment spending in 2015 will be driven by Memory and Foundry ─ with Taiwan and Korea projected to become the largest markets for fab equipment at $10.6 billion and $9.3 billion, respectively. The market in the Americas is forecast to reach $6.1 billion, with Japan and China following at $4.5 and $4.4 billion, respectively. Europe/Mideast is predicted to invest $2.6 billion. The fab equipment market in South East Asia is expected to total $1.2 billion in 2015.

Learn more about the SEMI World Fab Forecast and plan to attend the SEMI/Gartner Market Symposium at SEMICON West 2015 on Monday, July 13 for an update on the semiconductor supply chain market outlook. In addition to presentations from Gartner analysts, Christian Dieseldorff of SEMI will present on “Trends and Outlook for Fabs and Fab Capacity” and Lara Chamness will present on “Semiconductor Wafer Fab Materials Market and Year-to-Date Front-End Equipment Trends.”   

Fab Equipment Spending
(for Front-End Facilities, includes new, used, in-house)

 

2014

(US$B)

2015

(US$B)

Year-over-Year

Americas

7.8

6.1

-22%

China

4.1

4.4

10%

Europe and Mideast

2.2

2.6

18%

Japan

3.8

4.5

17%

Korea

7.4

9.3

27%

SE Asia

1.1

1.2

2%

Taiwan

8.5

10.6

25%

Total

34.9

38.7

11%

Source: SEMI World Fab Forecast Reports (May 2015)Totals may not add due to rounding

Revenues for flat panel display (FPD) manufacturing equipment are expected to grow for the third consecutive year to reach $9.1 billion, according to IHS Inc. (NYSE: IHS), a global source of critical information and insight. This level of FPD equipment spending, the highest level since 2011, is being driven by new liquid crystal display (LCD) and active-matrix organic light-emitting diode (AMOLED) panel factories targeting both large-area television and smartphone applications.

In terms of technology, spending will be split nearly evenly between amorphous silicon (a-Si) TV and low-temperature polycrystalline silicon (LTPS) smartphone plants, according to the latest IHS Quarterly FPD Supply/Demand and Capital Spending ReportLTPS investments in both 2015 and 2016 are expected to exceed all-time highs. 

“Over the past five years, spending on new LTPS LCD and AMOLED factories has been even more volatile than the overall FPD equipment market,” said Charles Annis, senior director at IHS. “LTPS-related equipment expenditures are now expected to peak in 2015 and 2016, before dropping off again in 2017, Recently announced projects are generating unprecedented levels of LTPS equipment expenditures, including new fab plans for JDI in Japan and Foxconn in Taiwan; expansions of current lines at both Samsung and LG Display in Korea; and new LTPS plants in China being built by AUO, BOE, Tianma and China Star.”

In addition to all the current LTPS fab activity, in 2015 makers continue to invest in a-Si Gen 8 factories targeted at TV applications, mainly in China. Much of this investment is the result of growing demand for large-area panels, which increased 14 percent last year – significantly outstripping capacity growth of 6 percent. This increased demand caused tight supply and firm prices last year, encouraging panel makers to extend capacity expansions. This year large-area demand and supply are forecast to grow at similar rates of 6 percent. Although factory utilization remains at relatively high levels, and there are concerns that growing set inventories will continue to push prices down in the third quarter (Q3) of this year, large-area supply and demand will be balanced for the year.

“Despite the maturing TV market, along with various concerns about the ability of all the new LTPS plants in China to ramp-up smoothly, FPD investment activity remains dynamic,” Annis said. “FPD equipment spending in 2016 is currently forecast to be flat or slightly down. BOE’s recent announcement to build a future Gen 8 factory in Fuzhou, and the world’s first Gen 10.5 fab in Hefei China, suggests that FPD makers still believe that building new factories will continue to lower costs and expand the range of applications.”

Discussion of these topics and more can be found in the IHS Quarterly FPD Supply/Demand and Capital Spending ReportThe report covers the most important metrics used to evaluate supply, demand, and capital spending for all major FPD technologies and applications.

FUJIFILM Corporation and nano-electronics research institute, imec have demonstrated full-color organic light-emitting diodes (OLED) by using their jointly-developed photoresist technology for organic semiconductors, a technology that enables submicron patterning. This breakthrough result paves the way to producing high-resolution and large organic Electroluminescent (EL) displays and establishing cost-competitive manufacturing methods.

Organic EL displays are increasingly used for televisions, mobile devices including smartphones as well as wearable devices. Since they can be made thin and flexible, while also offering excellent response time and contrast ratio. It is said that today’s products require organic EL displays of high pixel density, i.e. around 200ppi for 4K televisions, 500ppi for full HD mobile devices and even higher density for compact displays for wearable devices. There has been active R&D for organic semiconductors to develop a high-resolution patterning method for organic EL materials to be used in these products.

In 2013, Fujifilm and imec jointly developed photoresist technology for organic semiconductors that enables submicron patterning without damaging the organic semiconductor materials, based on photolithography capable of high-resolution patterning on large substrates. There is no need for additional capital investment since an existing i-line exposure system can be used for the new technology. This is why the technology has attracted wide attention since the development announcement with anticipation of a cost-effective way of manufacturing high-resolution organic semiconductor devices.

In the latest achievement, Fujifilm and imec produced full-color OLEDs with the photoresist technology for organic semiconductors and successfully verified their performance.  Red, green and blue organic EL materials were patterned, each in the subpixel pitch of 20μm, to create full-color OLEDs. An OLED array of 40 x 40 dots at the resolution of 640ppi was realized and illuminated with UV rays to confirm that red, green and blue dots separately emitted light. The emission of red, green and blue lights was also confirmed in a test involving the application of voltage rather than illumination, confirming its correct performance.

These results open new opportunities, such as using the novel photolithography in a multiple patterning process. An example would be creating an OLED array that adds a fourth color to red, green and blue, as well as developing previously-unseen devices such as a new sensors that integrate OLED with the organic photodetector.

This research result is to be presented at the SID Display Week, one of the world’s largest international exhibitions for information displays, held in San Jose, California from May 31 to June 5, 2015.

Since the commencement of joint research in November 2012, Fujifilm and imec have broken through the boundary of conventional technology to contribute to the progress of technology associated with organic semiconductors, e.g., developing the photoresist technology for organic semiconductors that enables the realization of high-resolution submicron patterns.  The two companies will continue to undertake cutting-edge R&D involving semiconductor materials, process technology and system integration, thereby contributing to resolving challenges faced by the organic electronics industry.

By Paula Doe, SEMI

Ever growing volumes of data to be stored and accessed, and advancing process technologies for sophisticated control of deposition and etch in complex stacks of new materials, are creating a window of opportunity for an emerging variety of next-generation non-volatile memory technologies.  While flash memory goes vertical for  higher densities, resistive RAM and spin-transfer magnetic RAM  technologies are moving towards commercial manufacture for  initial applications in niches that demand a different mix of speed,  power and endurance than  flash or SRAM. This article delves into some of the topics that will be addressed at SEMICON West 2015.

Micron: Memory Needs to go Vertical

“Memory is going through a transformation, making it an exciting time to be in the sector, with both emerging opportunities and new challenges,” notes Naga Chandrasekaran, Micron Technology VP of process R&D, who will keynote the next-generation memory program at SEMICON West 2015.  As new applications in the connected world drive demand for increased storage, bandwidth, and smart memory, and as conventional planar memory scaling faces more challenges, memory suppliers across the industry face a transformation, requiring new emerging memory types and a transition from planar to vertical technology.

“Memory needs to go vertical to meet growing demands placed on performance, and that means a new set of process and equipment requirements,” says Chandrasekaran.  Scaling the vertical 3DNAND structures is no longer limited by the lithography, but instead is driven by the capability of the etch, film and characterization processes.  “Metrology and structure/defect characterization is a holdup for the entire sector, which is slowing down the cycle time for development,” he notes. “In addition, there are challenges in materials, structural scaling, equipment technology, and manufacturability on the new roadmap that need to be resolved.”

Everspin Targets ST-RAM on GLOBALFOUNDRIES’ 40nm 300mm Process in a Year

Everspin Technologies’ recently introduced 64Mb spin transfer torque MRAM makes a big jump in density over the company’s earlier 16Mb device, as switching the magnetization by a current of electrons of aligned spin allows much better selectivity than applying a magnetic field.  Manufacturing these spin-transfer devices has traditionally been a challenge, but the company claims it sees a clear roadmap to continue to increase the density. “We’re squeezing a 64Mb device on 90nm silicon out of the quarter-micron process equipment in our fab,” says VP of manufacturing Sanjeev Aggarwal, who will give an update on the technology at SEMICON West.  The company is in the process of transferring the technology to a 40nm process on 300mm wafers at partner GLOBALFOUNDRIES in the next year, to significantly reduce the cell size and spacing.

Aggarwal notes that the layers in the magnetic stack of the spin-transfer torque device (ST RAM) are similar in thickness to those of the earlier magnetic-field switched MRAM devices, which have already shipped some  50 million units. In the 28nm version of the ST-RAM, targeted for a couple of years out, the company plans to switch from an in-plane to a perpendicular structure, which will significantly improve efficiency to cut power consumption by an order of magnitude, though the material stack and processing will remain very similar.

Current deposition tools can provide the layer uniformity required for the many ultrathin layers of these magnetic stacks, and etching technology being developed with a vendor for cleanly removing these non-volatile magnetic material looks promising for 40nm, says Aggarwal. Key is the company’s IP for depositing the tunnel barrier MgO and for stopping the etch uniformly on the tunnel barrier when etching the magnetic stack. “These deposition and etch technologies should extend to 1Gb without much change, though at 16Gb we may need something new,” he adds. “In the next several years we will need help from vendors on better ways to clean up the etch residue, such as by ion milling after RIE, or encapsulating the stack to protect it before the next round of etching.”

Demand for the 64Mb ST-RAM is coming from buffer storage applications, such as high-end enterprise-class solid state drives, where an array of the fast-writing, non-volatile chips holds the data until it can be more permanently filed and stored, and where the high volumes of data require better endurance than flash,  reports Terry Hulett,  Evergreen VP Systems Engineering and GM Storage Solutions.  “As our products increase in density, we expect to serve the same function for bigger storage systems, like a whole rack of solid state drives,” he projects. The company also targets applications for potential power savings for the instant-on persistent memory, such as powering off the display buffer between every refresh cycles for mobile devices, or shutting down the server between operations.

Both Sanjeev Aggarwal (Everspin) and Naga Chandrasekaran (Micron Technology) will update SEMICON West attendees on the state of these emerging memory technologies in a TechXPOT.   In addition, Wei D. Lu (Crossbar), Robert Patti (Tezzaron), and Jim Handy (Objective Analysis) will provide analysis and updates at the July 14 event in San Francisco:

Crossbar Aims for Embedded ReRAM IP Blocks from Foundry by End of Year

ReRAM suppliers, meanwhile, argue that their technology potentially offers better prospects for scaling and lower costs than either flash or spin-based MRAM, although it is still a ways from a commercial volume process.   Crossbar Co-founder and chief scientist Wei Lu, who will also speak at SEMICON West, says the company plans to deliver its ReRAM technology to strategic partners as an IP block for embedded non-volatile memory on logic chips from a leading-edge manufacturing foundry by the end of the year.  The company’s approach stores data by changing the resistance by forming a conductive metallic bridge through a resistive layer of amorphous silicon sandwiched between two electrode layers.

Lu says the devices are being made with two-mask steps on top of the CMOS transistors in a leading foundry.  Key to improving performance to commercial levels and achieving very dense crossbar arrays, he notes, is the addition of a high speed selector device on top of the memory layer.  This layer blocks unwanted sneak currents at low voltages and turns on at the threshold level to enable formation of the conduction bridge. “It’s like a volatile RAM stacked on top of the ReRAM, with nanosecond recovery time,” he explains. “This brings the on/off selectivity up to 108.”

Initial target market is chip makers who want to embed nonvolatile memory directly in the logic fab, for low-power applications like the IoT, with faster speed and higher endurance than flash.  But ultimately the company targets the bigger market of stand-alone enterprise data storage with lower read and write latencies.  “We expect to offer Gigabit-level density at faster speed than NAND flash by around 2017,” claims Lu.  He figures ReRAM and STT RAM will both find their place in the more diverse memory market of the future, with SST RAM offering better endurance, and ReRAM offering higher density and lower cost.

Tezzaron Reports High ReRAM Yields from Repair and Remapping through Multilayer Stack

Tezzaron Semiconductor takes a different approach to ReRAM, storing data by moving oxygen vacancies instead of metal ions across the thin layers to change resistance.  CTO Robert Patti, another SEMICON West speaker, credits the Tezzaron fab’s ALD technology for the tight control of layer uniformity required to build its 16-tiers of ReRAM cells on top of a CMOS transistor tier from another foundry.  Controlling the chemistry of the layering and the reaction is a challenge, but the tiers allow dynamic repair and remapping of defective cells, which Patti claims can enable yields of up to 98%.  “The possibility to repair across the vertical structure makes defect density less of an issue, and lets us deal with materials and processes that are less mature,” he notes.

Patti says his company’s aerospace/military customers, who need a non-volatile option with better endurance than flash memory, will likely move to ReRAM within a couple of years.  Server makers are also starting to look at the potential for adding a new intermediate level of memory, between the solid state disk and the DRAM, which could potentially significantly improve server performance in analyzing big data by holding big chunks of data for faster access at lower power. It might also reduce system-level costs, although it will require changes in operating system architecture to use it effectively, and sophisticated programming algorithms to manage the memory to limit wear.  Demands on the intermediate storage memory should be limited enough that the ReRAM target endurance of 10cycles should be sufficient, though it remains lower than DRAM’s 1015.  If ReRAM endurance reaches 1012 cycles, the nonvolatile, instant-on memory could become a viable replacement for mobile memory, Patti suggests.

Vertical NAND is appealing because it’s more familiar, which has probably delayed interest in ReRAM.  But ReRAM has a smaller cell size so may ultimately be easier to scale and more cost effective,” argues Patti.

Costs Remain the Challenge

“The only thing that ultimately matters in memory is cost,” argues Objective Analysis analyst Jim Handy, another speaker, pointing out that the target aerospace and enterprise storage applications remain small markets, and volumes are not high enough yet to build up deep understanding of the new materials used, so there will be bumps in the road to come.  But as costs come down as MRAM and ReRAM scale to higher densities, he expects them to gradually take over more mainstream applications, starting with the highest cost memories, so first SRAM (especially SRAM with battery backup), then NOR flash, DRAM and finally NAND flash — perhaps by ~2023.  “We have been predicting that 2017 is the earliest we’ll see significant penetration of 3D NAND into the planar NAND market,” he notes. “And now that some suppliers are saying it will be 2017, it makes me think it may be longer.”

On July 14, all of these industry leaders will present at SEMICON West at the emerging memory technologies TechXPOT (www.semiconwest.org/node/13781). Register now and save $100 off registration.

By Douglas G. Sutherland and David W. Price

Author’s Note: This is the sixth in a series of 10 installments that explore fundamental truths about process control—defect inspection and metrology—for the semiconductor industry. Each article in this series introduces one of the 10 fundamental truths and highlights their implications. Within this article we will use the term inspection to imply either defect inspection or a parametric measurement such as film thickness or critical dimension (CD).

In previous installments we discussed capability, sampling, missed excursions, risk management and variability. Although all of these topics involve an element of time, in this paper we will discuss the importance of timeliness in more detail.

The sixth fundamental truth of process control for the semiconductor IC industry is:

Time is the Enemy of Profitability

There are three main phases to semiconductor manufacturing: research and development (R&D), ramp, and high volume manufacturing (HVM). All of them are expensive and time is a critical element in all three phases.

From a cash-flow perspective, R&D is the most difficult phase: the fab is spending hundreds of thousands of dollars every day on man power and capital equipment with no revenue from the newly developed products to offset that expense. In the ramp phase the fab starts to generate some revenue early on, but the yield and volume are still too low to offset the production costs. Furthermore, this revenue doesn’t even begin to offset the cost of R&D. It is usually not until the early stages of HVM that the fab has sufficient wafer starts and sufficient yield to start recovering the costs of the first two phases and begin making a profit. Figure 1 below shows the cumulative cash flow for the entire process.

Figure 1. The cumulative cash-flow as a function of time. In the R&D phase the cash-flow is negative but the slope of the curve turns positive in the ramp phase as revenues begin to build. The total costs do not turn positive until the beginning of high-volume manufacturing.

Figure 1. The cumulative cash-flow as a function of time. In the R&D phase the cash-flow is negative but the slope of the curve turns positive in the ramp phase as revenues begin to build. The total costs do not turn positive until the beginning of high-volume manufacturing.

What makes all of this even more challenging is that all the while, the prices paid for these new devices are falling. The time required from initial design to when the first chips reach the market is a critical parameter in the fab’s profitability. Figure 2 shows the actual decay curve for the average selling price (ASP) of memory chips from inception to maturity.

Figure 2.  Typical price decline curve for memory products in the first year after product introduction.   Similar trends can be seen for other devices types.

Figure 2. Typical price decline curve for memory products in the first year after product introduction. Similar trends can be seen for other devices types.

Consequently, while the fab is bleeding money on R&D, their ability to recoup those expenses is dwindling as the ASP steadily declines. Anything that can shorten the R&D and ramp phases shortens the time-to-market and allows fabs to realize the higher ASP shown on the left hand side of Figure 2.

From Figures 1 and 2 it is clear that even small delays in completing the R&D or ramp phases can make the difference between a fab that is wildly profitable and one that struggles just to break even. Those organizations that are the first to bring the latest technology to market reap the majority of the reward. This gives them a huge head start—in terms of both time and money—in the development of the next technology node and the whole cycle then repeats itself.

Process control is like a window that allows you to see what is happening at various stages of the manufacturing cycle. Without this, the entire exercise from R&D to HVM would be like trying to build a watch while wearing a blindfold. This analogy is not as far-fetched as it may seem. The features of integrated circuits are far too small to be seen and even when inspections are made, they are usually only done on a small percentage of the total wafers produced. For parametric measurements (films, CD and overlay) measurements are performed only on an infinitesimal percentage of the total transistors on each of the selected wafers. For the vast majority of time, the fab manager truly is blind. Parametric measurements and defect inspection are brief moments when ‘the watch maker’ can take off the blindfold, see the fruits of their labor and make whatever corrections may be required.

As manufacturing processes become more complex with multiple patterning, pitch splitting and other advanced patterning techniques, the risk of not yielding in a timely fashion is higher than ever. Having more process control steps early in the R&D and ramp phases increases the number of windows through which you can see how the process is performing. Investing in the highest quality process control tools improves the quality of these windows. A window that distorts the view—an inspection tool with poor capture rate or a parametric tool with poor accuracy—may be worse than no window at all because it wastes time and may provide misleading data. An effective process control strategy, consisting of the right tools, the right recipes and the right sampling all at the right steps, can significantly reduce the R&D and ramp times.

On a per wafer basis, the amount of process control should be highest in the R&D phase when the yield is near zero and there are more problems to catch and correct. Resolving a single rate-limiting issue in this phase with two fewer cycles of learning—approximately one month—can pay for a significant portion of the total budget spent on process control.

After R&D, the ramp phase is the next most important stage requiring focused attention with very high sampling rates. It’s imperative that the yield be increased to profitable levels as quickly as possible and you can’t do this while blindfolded.

Finally, in the HVM phase an effective process control strategy minimizes risk by discovering yield limiting problems (excursions) in a timely manner.

It’s all about time, as time is money. 

References:

1)     Process Watch: You Can’t Fix What You Can’t Find, Solid State Technology, July 2014

2)     Process Watch: Sampling Matters, Semiconductor Manufacturing and Design, September 2014

3)     Process Watch: The Most Expensive Defect, Solid State Technology, December 2014

4)     Process Watch: Fab Managers Don’t Like Surprises, Solid State Technology, December 2014

5)     Process Watch: Know Your Enemy, Solid State Technology, March 2015 

About the authors:

Dr. David W. Price is a Senior Director at KLA-Tencor Corp. Dr. Douglas Sutherland is a Principal Scientist at KLA-Tencor Corp. Over the last 10 years, Dr. Price and Dr. Sutherland have worked directly with over 50 semiconductor IC manufacturers to help them optimize their overall inspection strategy to achieve the lowest total cost. This series of articles attempts to summarize some of the universal lessons they have observed through these engagements.

 

Despite the inventory adjustment caused by LCD TV brands reducing their panel orders in the first quarter (Q1) of 2015, the strong demand for leading TV brands to fulfill their panel facilitation plans — combined with a strong cross-marketing push by TV panel makers — helped LCD TV panel shipments reach a record monthly high in March 2015. According to the latest Monthly TFT LCD Shipment Databasefrom IHS Inc. (NYSE: IHS), a global source of critical information and insight, LCD TV panel shipments from global panel makers reached 23.9 million in March 2015, growing 20 percent month over month and 11 percent year over year.

Panel shipments declined seasonally in Q1 of this year, because most LCD TV modules are manufactured in China and the Chinese New Year holidays in February meant fewer working days in LCD cell fabs in Asia and LCD module lines in China. Meanwhile, as the LCD TV panel supply-demand balance shifted from tightness to oversupply, TV makers have started to reduce orders, especially for older models. However, positive year-over-year growth is still expected, especially since there was such a strong rebound for LCD TV panel shipments in March.

“Although the LCD TV panel demand has shown signs of slowing after the holidays, leading TV brands are preparing their new models for launch, so orders are not diminished,” said Yoonsung Chung, director of large area display research for IHS.  “Meanwhile, panel makers are aggressively introducing 4K resolution, wide color gamut, ultra-slim bezels and other new features, to improve panel shipment growth”

While LCD TV panel shipments reached 253 million units in 2014, panel makers are aggressively targeting 261 million units this year. “Demand will slow, beginning in the second quarter of 2015, and panel prices are already starting to fall, so TV panel shipments may face some growth challenges in the coming months,” Chung said.

IHS_Large-area_shipments_2008-2015_150427

 

LCD shipment growth also varied by size in March, representing a shift in LCD TV size trends. The 23.6-inch display, which is primarily available in emerging regions, shipped a record 2.1 million units. Other display sizes setting records last month were 40-inch displays (3.3 million), 43-inch displays (1.2 million), 49-inch displays (0.9 million), and 65-inch displays (0.4 million).

Led by Samsung Display and LG Display, 4K LCD TV panel shipments grew from 1.7 million in February to a record-setting 2.6 million units in March 2015. Red-green-blue-white (RGBW) pixel-layout technology, which can help reduce power consumption, is expected to rise rapidly in 2015 as the industry’s acceptance of this technology has gradually extended from the Chinese market to the global market.

The Monthly TFT LCD Shipment Database provides the latest panel shipment numbers, surveyed from all large-area panel makers.

Applied Materials, Inc. and Tokyo Electron Limited today announced that they have agreed to terminate their Business Combination Agreement (BCA). No termination fees will be payable by either party.

The decision came after the U.S. Department of Justice (DoJ) advised the parties that the coordinated remedy proposal submitted to all regulators would not be sufficient to replace the competition lost from the merger. Based on the DoJ’s position, Applied Materials and Tokyo Electron have determined that there is no realistic prospect for the completion of the merger.

“We viewed the merger as an opportunity to accelerate our strategy and worked hard to make it happen,” said Gary Dickerson, president and chief executive officer of Applied Materials. “While we are disappointed that we are not able to pursue this path, our existing growth strategy is compelling. We have been relentlessly driving this strategy forward and we have made significant progress towards our goals. We are delivering results and gaining share in the semiconductor and display equipment markets, while making meaningful advances in areas that represent the biggest and best growth opportunities for us.

“I would like to thank our employees for their focus on delivering results throughout this process. As we move forward, Applied Materials has tremendous opportunities to leverage our differentiated capabilities and technology in precision materials engineering and drive a significant increase in the value we create for our customers and investors.”

Strong promotion of 4K display resolutions from TV makers, display manufacturers and distribution channels has successfully increased consumer awareness and boosted 4K LCD TV penetration in 2014, according to a new report from IHS Inc. (NYSE: IHS), a global source of critical information and insight. While 4K is best known as a feature in high-end LCD TVs, starting this year 4K displays will emerge in all major display applications, including desktop monitors, notebook PCs, OLED TVs, digital signage, smartphones and tablet PCs.

The latest Quarterly Worldwide FPD Shipment and Forecast Report from IHS reveals that the 4K display market reached $9.2 billion last year.  4K LCD TV contributed $8.8 billion to overall revenue; however, in 2015, 4K displays are coming to all major applications and will boost 4K revenue 94 percent year over year, reaching $18 billion in 2015. With the evolution of new display process technologies, to enhance the 4K display yield rate and lower costs, IHS forecasts that the 4K display market will be reach $52 billion in 2020.

“Since its market introduction in 2013, TV brands have recognized that 4K is a great way to enhance value, so they have strongly promoted 4K models,” said David Hsieh, senior director of display research for IHS. “4K content and broadcasting availability is also on the rise, which is helping more TV buyers recognize the value of this feature. Meanwhile, LCD TV panel makers have continuously improved 4K panel yield, which has reduced costs and facilitated even more consumer adoption.”

In 2015, LCD panel makers are targeting 40 million 4K LCD TV panel shipments, which represent 17 percent of all LCD TV panel shipments. In addition to TVs, consumers are starting to enjoy the benefits of ultra-high-resolution content in their smartphones and other mobile devices. Meanwhile, the “TV everywhere” concept is increasing consumer desire for higher resolution screens in their mobile devices. The professional-monitor and public-display market are also increasingly adopting 4K displays.

Source: IHS

4K LCD TVs continue to be the largest segment of the 4K display market, but smartphones and OLED TVs will experience the strongest growth this year. In order to compete with LCD TV in the high-end segment, OLED TV makers are including 4K resolutions. As display technology is improving fine-pitch pixel designs and brightness transmittance, 4K displays will become more affordable for mobile devices. In fact, panel makers like Sharp and JDI have recently announced and exhibited 4K smartphone panels. 4K tablet-PC displays, using oxide (IGZO) and low temperature poly-silicon (LTPS) processes, are also in panel makers’ plans.

On the other hand, sub-pixel rendering (SPR) technology will become an important way for panel makers to enhance 4K pixel design in their displays. For many years now, various versions of SPR have been used in the commercial production of AMOLED and LCD displays. Essentially they use two sub-pixels per white pixel, to offer a similar perceived resolution as conventional three-color red-green-blue (RGB) displays.

“The main benefits of SPR include fewer sub-pixels, higher transmission and lower power consumption,” Hsieh said. “SPR is an important element in the growth of the 4K display market.”

The IHS Quarterly Worldwide FPD Shipment and Forecast Report covers worldwide shipments and forecasts for all major flat panel display applications, including detail from over 140 flat-panel display (FPD) producers, covering more than 10 countries. The report analyzes historical shipments and forecast projections, which provide some of the most detailed information and insights available.

April 2015 marks the 50th anniversary of one of the business world’’s most profound drivers, now commonly referred to as Moore’s Law.  In April 1965, Gordon Moore, later co-founder of Intel, observed that the number of transistors per square inch on integrated circuits would continue to double every year.  This “observation” has set the exponential tempo for five decades of innovation and investment resulting in today’s $336 billion USD integrated circuits industry enabled by the $82 billion USD semiconductor equipment and materials industry (SEMI and SIA 2014 annual totals).

SEMI, the global industry association serving the nano- and micro-electronic manufacturing supply chains, today recognizes the enabling contributions made by the over 1,900 SEMI Member companies in developing semiconductor equipment and materials that produce over 219 billion integrated circuit devices and 766 billion semiconductor units per year (WSTS, 2014).

50 years of Moore’’s Law has led to one of the most technically sophisticated, constantly evolving manufacturing industries operating today.  Every day, integrated circuit (IC) production now does what was unthinkable 50 years ago.  SEMI Member companies now routinely produce materials such as process gases, for example, to levels of 99.994 percent quality for bulk Silane (SiH4) in compliance with the SEMI C3.55 Standard.  Semiconductor equipment manufacturers develop the hundreds of processing machines necessary for each IC factory (fab) that are at work all day, every day, processing more than 100 silicon wafers per hour with fully automated delivery and control – all with standardized interoperability. SEMI Member companies provide the equipment to inspect wafer process results automatically, and find and identify defects at sizes only fractions of the 14nm circuit line elements in today’s chips, ensuring process integrity throughout the manufacturing process.

“”It was SEMI Member companies who enabled Moore’’s Law’’s incredible exponential growth over the last 50 years,”” said Denny McGuirk, president and CEO of SEMI.  “”Whereas hundreds of transistors on an IC was noteworthy in the 1960s, today over 1.3 billion transistors are on a single IC.  SEMI Member companies provide the capital equipment and materials for today’s mega-fabs, with each one processing hundreds or thousands of ICs on each wafer with more than 100,000 wafers processed per month.””

To celebrate SEMI Member companies’ contribution to the 50 years of Moore’s Law, SEMI has produced a series of Infographics that show the progression of the industry.

1971

2015

Price per chip

$351

$393

Price per 1,000 transistors

$150

$0.0003

Number of transistors per chip

2,300

1,300,000,000

Minimum feature size on chip

10,000nm

14nm

From SEMI infographic “Why Moore Matters”: www.semi.org/node/55026